Received: by 2002:ad5:474a:0:0:0:0:0 with SMTP id i10csp9531006imu; Wed, 5 Dec 2018 06:20:58 -0800 (PST) X-Google-Smtp-Source: AFSGD/X2atT7TdtsHa67osAa3GgV0o/YolTmwmQ5E5XRb+eu7Cj25WomYfcemO8pYfKKJQeatQZA X-Received: by 2002:a63:f111:: with SMTP id f17mr20649833pgi.236.1544019658124; Wed, 05 Dec 2018 06:20:58 -0800 (PST) ARC-Seal: i=1; a=rsa-sha256; t=1544019658; cv=none; d=google.com; s=arc-20160816; b=J9kWva5dhzaVuHNK0wslXDtal0BZp/e5ERLJM3OkPXZUhJSmgRboCevmx/N/rIhEyk Yxf3WdhOOaRLAMgHLVd2CdhqOigOhi1WbA1+UeWAaKCAGmq8uTFVJnhKM/7qFE9TXJLd shnC00vaGvu3Y8dE4c0NCLBvySm26+9TTPT0w+kDoCE0JZtO2lfiseZrNZBRveEtzxNP zOX/wvNr79s1y5/oPQNuYZKAHKbtC2hLyQ7zds14AyLGf5F1YRvUhIOKc5+02QTg/Yye KiCGVmdgW9eveAzr+2KQqhy/eQ33Zeu0r94LuEsDDN6D5cnv7ufUI3DqU+ArONEp4uCx YnWA== ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=google.com; s=arc-20160816; h=list-id:precedence:sender:user-agent:in-reply-to :content-disposition:mime-version:references:message-id:subject:cc :to:from:date; bh=iYVu/mIG9nCnUoPJH59Kpct4caZfrHLtQKw9T6CslKw=; b=CNONtvpWwhAGRzh3xd416k0ZhPDPGLda/N/GDuzAhdMxUZzsn1LQqUtvroIudYOr+K 44puTD71ZnU51sFV8sVrsxfLH6vuY45hJ/MEtQuMaj/P1N3X4qOFvd5Esi4AMOOV08Fg Wtfbuxn4prEwceHUoEzZ3mAuT6Gra6DLlEJprmeR7Jow6vqaUvi3qdmsxZpUklavc/fc AAzOxfbGANxQlY8Jlu6u/bPx83oJfuXodT2t3e19u5sXw8yUtGYaD3OYeldecjLQAMqL QDBL/E5LeazY+2YF90wqea3v5j+XQOEkXPeqbkxq7mAAuGkUhsvlST3/gK5gUMEWWtHr S38w== ARC-Authentication-Results: i=1; mx.google.com; spf=pass (google.com: best guess record for domain of linux-kernel-owner@vger.kernel.org designates 209.132.180.67 as permitted sender) smtp.mailfrom=linux-kernel-owner@vger.kernel.org; dmarc=fail (p=NONE sp=NONE dis=NONE) header.from=intel.com Return-Path: Received: from vger.kernel.org (vger.kernel.org. [209.132.180.67]) by mx.google.com with ESMTP id go15si20994439plb.219.2018.12.05.06.20.42; Wed, 05 Dec 2018 06:20:58 -0800 (PST) Received-SPF: pass (google.com: best guess record for domain of linux-kernel-owner@vger.kernel.org designates 209.132.180.67 as permitted sender) client-ip=209.132.180.67; Authentication-Results: mx.google.com; spf=pass (google.com: best guess record for domain of linux-kernel-owner@vger.kernel.org designates 209.132.180.67 as permitted sender) smtp.mailfrom=linux-kernel-owner@vger.kernel.org; dmarc=fail (p=NONE sp=NONE dis=NONE) header.from=intel.com Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S1727645AbeLEOTy (ORCPT + 99 others); Wed, 5 Dec 2018 09:19:54 -0500 Received: from mga02.intel.com ([134.134.136.20]:17627 "EHLO mga02.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S1726918AbeLEOTy (ORCPT ); Wed, 5 Dec 2018 09:19:54 -0500 X-Amp-Result: UNSCANNABLE X-Amp-File-Uploaded: False Received: from fmsmga004.fm.intel.com ([10.253.24.48]) by orsmga101.jf.intel.com with ESMTP/TLS/DHE-RSA-AES256-GCM-SHA384; 05 Dec 2018 06:19:37 -0800 X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.56,317,1539673200"; d="gz'50?scan'50,208,50";a="125300167" Received: from lkp-server01.sh.intel.com (HELO lkp-server01) ([10.239.97.150]) by fmsmga004.fm.intel.com with ESMTP; 05 Dec 2018 06:19:35 -0800 Received: from kbuild by lkp-server01 with local (Exim 4.89) (envelope-from ) id 1gUY1W-000DtW-V8; Wed, 05 Dec 2018 22:19:34 +0800 Date: Wed, 5 Dec 2018 22:18:40 +0800 From: kbuild test robot To: Katsuhiro Suzuki Cc: kbuild-all@01.org, Heiko Stuebner , linux-rockchip@lists.infradead.org, linux-arm-kernel@lists.infradead.org, linux-kernel@vger.kernel.org, Katsuhiro Suzuki Subject: Re: [PATCH] clk: rockchip: add clock gate of ACODEC for rk3328 Message-ID: <201812052253.koYbL44I%fengguang.wu@intel.com> References: <20181125175603.30592-1-katsuhiro@katsuster.net> MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="OgqxwSJOaUobr8KG" Content-Disposition: inline In-Reply-To: <20181125175603.30592-1-katsuhiro@katsuster.net> User-Agent: Mutt/1.5.23 (2014-03-12) Sender: linux-kernel-owner@vger.kernel.org Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --OgqxwSJOaUobr8KG Content-Type: text/plain; charset=us-ascii Content-Disposition: inline Hi Katsuhiro, Thank you for the patch! Yet something to improve: [auto build test ERROR on rockchip/for-next] [also build test ERROR on v4.20-rc5] [cannot apply to next-20181204] [if your patch is applied to the wrong git tree, please drop us a note to help improve the system] url: https://github.com/0day-ci/linux/commits/Katsuhiro-Suzuki/clk-rockchip-add-clock-gate-of-ACODEC-for-rk3328/20181126-085855 base: https://git.kernel.org/pub/scm/linux/kernel/git/mmind/linux-rockchip.git for-next config: arm64-defconfig (attached as .config) compiler: aarch64-linux-gnu-gcc (Debian 7.2.0-11) 7.2.0 reproduce: wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # save the attached .config to linux build tree GCC_VERSION=7.2.0 make.cross ARCH=arm64 All errors (new ones prefixed by >>): In file included from drivers/clk/rockchip/clk-rk3328.c:21:0: >> drivers/clk/rockchip/clk-rk3328.c:807:7: error: 'PCLK_ACODECPHY' undeclared here (not in a function); did you mean 'PCLK_HDMIPHY'? GATE(PCLK_ACODECPHY, "pclk_acodecphy", "pclk_phy_pre", 0, RK3328_CLKGATE_CON(17), 5, GFLAGS), ^ drivers/clk/rockchip/clk.h:666:10: note: in definition of macro 'GATE' .id = _id, \ ^~~ vim +807 drivers/clk/rockchip/clk-rk3328.c 242 243 static struct rockchip_clk_branch rk3328_i2s0_fracmux __initdata = 244 MUX(0, "i2s0_pre", mux_i2s0_p, CLK_SET_RATE_PARENT, 245 RK3328_CLKSEL_CON(6), 8, 2, MFLAGS); 246 247 static struct rockchip_clk_branch rk3328_i2s1_fracmux __initdata = 248 MUX(0, "i2s1_pre", mux_i2s1_p, CLK_SET_RATE_PARENT, 249 RK3328_CLKSEL_CON(8), 8, 2, MFLAGS); 250 251 static struct rockchip_clk_branch rk3328_i2s2_fracmux __initdata = 252 MUX(0, "i2s2_pre", mux_i2s2_p, CLK_SET_RATE_PARENT, 253 RK3328_CLKSEL_CON(10), 8, 2, MFLAGS); 254 255 static struct rockchip_clk_branch rk3328_spdif_fracmux __initdata = 256 MUX(SCLK_SPDIF, "sclk_spdif", mux_spdif_p, CLK_SET_RATE_PARENT, 257 RK3328_CLKSEL_CON(12), 8, 2, MFLAGS); 258 259 static struct rockchip_clk_branch rk3328_uart0_fracmux __initdata = 260 MUX(SCLK_UART0, "sclk_uart0", mux_uart0_p, CLK_SET_RATE_PARENT, 261 RK3328_CLKSEL_CON(14), 8, 2, MFLAGS); 262 263 static struct rockchip_clk_branch rk3328_uart1_fracmux __initdata = 264 MUX(SCLK_UART1, "sclk_uart1", mux_uart1_p, CLK_SET_RATE_PARENT, 265 RK3328_CLKSEL_CON(16), 8, 2, MFLAGS); 266 267 static struct rockchip_clk_branch rk3328_uart2_fracmux __initdata = 268 MUX(SCLK_UART2, "sclk_uart2", mux_uart2_p, CLK_SET_RATE_PARENT, 269 RK3328_CLKSEL_CON(18), 8, 2, MFLAGS); 270 271 static struct rockchip_clk_branch rk3328_clk_branches[] __initdata = { 272 /* 273 * Clock-Architecture Diagram 1 274 */ 275 276 DIV(0, "clk_24m", "xin24m", CLK_IGNORE_UNUSED, 277 RK3328_CLKSEL_CON(2), 8, 5, DFLAGS), 278 COMPOSITE(SCLK_RTC32K, "clk_rtc32k", mux_2plls_xin24m_p, 0, 279 RK3328_CLKSEL_CON(38), 14, 2, MFLAGS, 0, 14, DFLAGS, 280 RK3328_CLKGATE_CON(0), 11, GFLAGS), 281 282 /* PD_MISC */ 283 MUX(HDMIPHY, "hdmiphy", mux_hdmiphy_p, CLK_SET_RATE_PARENT, 284 RK3328_MISC_CON, 13, 1, MFLAGS), 285 MUX(USB480M, "usb480m", mux_usb480m_p, CLK_SET_RATE_PARENT, 286 RK3328_MISC_CON, 15, 1, MFLAGS), 287 288 /* 289 * Clock-Architecture Diagram 2 290 */ 291 292 /* PD_CORE */ 293 GATE(0, "apll_core", "apll", CLK_IGNORE_UNUSED, 294 RK3328_CLKGATE_CON(0), 0, GFLAGS), 295 GATE(0, "gpll_core", "gpll", CLK_IGNORE_UNUSED, 296 RK3328_CLKGATE_CON(0), 2, GFLAGS), 297 GATE(0, "dpll_core", "dpll", CLK_IGNORE_UNUSED, 298 RK3328_CLKGATE_CON(0), 1, GFLAGS), 299 GATE(0, "npll_core", "npll", CLK_IGNORE_UNUSED, 300 RK3328_CLKGATE_CON(0), 12, GFLAGS), 301 COMPOSITE_NOMUX(0, "pclk_dbg", "armclk", CLK_IGNORE_UNUSED, 302 RK3328_CLKSEL_CON(1), 0, 4, DFLAGS | CLK_DIVIDER_READ_ONLY, 303 RK3328_CLKGATE_CON(7), 0, GFLAGS), 304 COMPOSITE_NOMUX(0, "aclk_core", "armclk", CLK_IGNORE_UNUSED, 305 RK3328_CLKSEL_CON(1), 4, 3, DFLAGS | CLK_DIVIDER_READ_ONLY, 306 RK3328_CLKGATE_CON(7), 1, GFLAGS), 307 GATE(0, "aclk_core_niu", "aclk_core", 0, 308 RK3328_CLKGATE_CON(13), 0, GFLAGS), 309 GATE(0, "aclk_gic400", "aclk_core", CLK_IGNORE_UNUSED, 310 RK3328_CLKGATE_CON(13), 1, GFLAGS), 311 312 GATE(0, "clk_jtag", "jtag_clkin", CLK_IGNORE_UNUSED, 313 RK3328_CLKGATE_CON(7), 2, GFLAGS), 314 315 /* PD_GPU */ 316 COMPOSITE(0, "aclk_gpu_pre", mux_4plls_p, 0, 317 RK3328_CLKSEL_CON(44), 6, 2, MFLAGS, 0, 5, DFLAGS, 318 RK3328_CLKGATE_CON(6), 6, GFLAGS), 319 GATE(ACLK_GPU, "aclk_gpu", "aclk_gpu_pre", CLK_SET_RATE_PARENT, 320 RK3328_CLKGATE_CON(14), 0, GFLAGS), 321 GATE(0, "aclk_gpu_niu", "aclk_gpu_pre", 0, 322 RK3328_CLKGATE_CON(14), 1, GFLAGS), 323 324 /* PD_DDR */ 325 COMPOSITE(0, "clk_ddr", mux_ddrphy_p, CLK_IGNORE_UNUSED, 326 RK3328_CLKSEL_CON(3), 8, 2, MFLAGS, 0, 3, DFLAGS | CLK_DIVIDER_POWER_OF_TWO, 327 RK3328_CLKGATE_CON(0), 4, GFLAGS), 328 GATE(0, "clk_ddrmsch", "clk_ddr", CLK_IGNORE_UNUSED, 329 RK3328_CLKGATE_CON(18), 6, GFLAGS), 330 GATE(0, "clk_ddrupctl", "clk_ddr", CLK_IGNORE_UNUSED, 331 RK3328_CLKGATE_CON(18), 5, GFLAGS), 332 GATE(0, "aclk_ddrupctl", "clk_ddr", CLK_IGNORE_UNUSED, 333 RK3328_CLKGATE_CON(18), 4, GFLAGS), 334 GATE(0, "clk_ddrmon", "xin24m", CLK_IGNORE_UNUSED, 335 RK3328_CLKGATE_CON(0), 6, GFLAGS), 336 337 COMPOSITE(PCLK_DDR, "pclk_ddr", mux_2plls_hdmiphy_p, 0, 338 RK3328_CLKSEL_CON(4), 13, 2, MFLAGS, 8, 3, DFLAGS, 339 RK3328_CLKGATE_CON(7), 4, GFLAGS), 340 GATE(0, "pclk_ddrupctl", "pclk_ddr", CLK_IGNORE_UNUSED, 341 RK3328_CLKGATE_CON(18), 1, GFLAGS), 342 GATE(0, "pclk_ddr_msch", "pclk_ddr", CLK_IGNORE_UNUSED, 343 RK3328_CLKGATE_CON(18), 2, GFLAGS), 344 GATE(0, "pclk_ddr_mon", "pclk_ddr", CLK_IGNORE_UNUSED, 345 RK3328_CLKGATE_CON(18), 3, GFLAGS), 346 GATE(0, "pclk_ddrstdby", "pclk_ddr", CLK_IGNORE_UNUSED, 347 RK3328_CLKGATE_CON(18), 7, GFLAGS), 348 GATE(0, "pclk_ddr_grf", "pclk_ddr", CLK_IGNORE_UNUSED, 349 RK3328_CLKGATE_CON(18), 9, GFLAGS), 350 351 /* 352 * Clock-Architecture Diagram 3 353 */ 354 355 /* PD_BUS */ 356 COMPOSITE(ACLK_BUS_PRE, "aclk_bus_pre", mux_2plls_hdmiphy_p, 0, 357 RK3328_CLKSEL_CON(0), 13, 2, MFLAGS, 8, 5, DFLAGS, 358 RK3328_CLKGATE_CON(8), 0, GFLAGS), 359 COMPOSITE_NOMUX(HCLK_BUS_PRE, "hclk_bus_pre", "aclk_bus_pre", 0, 360 RK3328_CLKSEL_CON(1), 8, 2, DFLAGS, 361 RK3328_CLKGATE_CON(8), 1, GFLAGS), 362 COMPOSITE_NOMUX(PCLK_BUS_PRE, "pclk_bus_pre", "aclk_bus_pre", 0, 363 RK3328_CLKSEL_CON(1), 12, 3, DFLAGS, 364 RK3328_CLKGATE_CON(8), 2, GFLAGS), 365 GATE(0, "pclk_bus", "pclk_bus_pre", 0, 366 RK3328_CLKGATE_CON(8), 3, GFLAGS), 367 GATE(0, "pclk_phy_pre", "pclk_bus_pre", 0, 368 RK3328_CLKGATE_CON(8), 4, GFLAGS), 369 370 COMPOSITE(SCLK_TSP, "clk_tsp", mux_2plls_p, 0, 371 RK3328_CLKSEL_CON(21), 15, 1, MFLAGS, 8, 5, DFLAGS, 372 RK3328_CLKGATE_CON(2), 5, GFLAGS), 373 GATE(0, "clk_hsadc_tsp", "ext_gpio3a2", 0, 374 RK3328_CLKGATE_CON(17), 13, GFLAGS), 375 376 /* PD_I2S */ 377 COMPOSITE(0, "clk_i2s0_div", mux_2plls_p, 0, 378 RK3328_CLKSEL_CON(6), 15, 1, MFLAGS, 0, 7, DFLAGS, 379 RK3328_CLKGATE_CON(1), 1, GFLAGS), 380 COMPOSITE_FRACMUX(0, "clk_i2s0_frac", "clk_i2s0_div", CLK_SET_RATE_PARENT, 381 RK3328_CLKSEL_CON(7), 0, 382 RK3328_CLKGATE_CON(1), 2, GFLAGS, 383 &rk3328_i2s0_fracmux), 384 GATE(SCLK_I2S0, "clk_i2s0", "i2s0_pre", CLK_SET_RATE_PARENT, 385 RK3328_CLKGATE_CON(1), 3, GFLAGS), 386 387 COMPOSITE(0, "clk_i2s1_div", mux_2plls_p, 0, 388 RK3328_CLKSEL_CON(8), 15, 1, MFLAGS, 0, 7, DFLAGS, 389 RK3328_CLKGATE_CON(1), 4, GFLAGS), 390 COMPOSITE_FRACMUX(0, "clk_i2s1_frac", "clk_i2s1_div", CLK_SET_RATE_PARENT, 391 RK3328_CLKSEL_CON(9), 0, 392 RK3328_CLKGATE_CON(1), 5, GFLAGS, 393 &rk3328_i2s1_fracmux), 394 GATE(SCLK_I2S1, "clk_i2s1", "i2s1_pre", CLK_SET_RATE_PARENT, 395 RK3328_CLKGATE_CON(0), 6, GFLAGS), 396 COMPOSITE_NODIV(SCLK_I2S1_OUT, "i2s1_out", mux_i2s1out_p, 0, 397 RK3328_CLKSEL_CON(8), 12, 1, MFLAGS, 398 RK3328_CLKGATE_CON(1), 7, GFLAGS), 399 400 COMPOSITE(0, "clk_i2s2_div", mux_2plls_p, 0, 401 RK3328_CLKSEL_CON(10), 15, 1, MFLAGS, 0, 7, DFLAGS, 402 RK3328_CLKGATE_CON(1), 8, GFLAGS), 403 COMPOSITE_FRACMUX(0, "clk_i2s2_frac", "clk_i2s2_div", CLK_SET_RATE_PARENT, 404 RK3328_CLKSEL_CON(11), 0, 405 RK3328_CLKGATE_CON(1), 9, GFLAGS, 406 &rk3328_i2s2_fracmux), 407 GATE(SCLK_I2S2, "clk_i2s2", "i2s2_pre", CLK_SET_RATE_PARENT, 408 RK3328_CLKGATE_CON(1), 10, GFLAGS), 409 COMPOSITE_NODIV(SCLK_I2S2_OUT, "i2s2_out", mux_i2s2out_p, 0, 410 RK3328_CLKSEL_CON(10), 12, 1, MFLAGS, 411 RK3328_CLKGATE_CON(1), 11, GFLAGS), 412 413 COMPOSITE(0, "clk_spdif_div", mux_2plls_p, 0, 414 RK3328_CLKSEL_CON(12), 15, 1, MFLAGS, 0, 7, DFLAGS, 415 RK3328_CLKGATE_CON(1), 12, GFLAGS), 416 COMPOSITE_FRACMUX(0, "clk_spdif_frac", "clk_spdif_div", CLK_SET_RATE_PARENT, 417 RK3328_CLKSEL_CON(13), 0, 418 RK3328_CLKGATE_CON(1), 13, GFLAGS, 419 &rk3328_spdif_fracmux), 420 421 /* PD_UART */ 422 COMPOSITE(0, "clk_uart0_div", mux_2plls_u480m_p, 0, 423 RK3328_CLKSEL_CON(14), 12, 2, MFLAGS, 0, 7, DFLAGS, 424 RK3328_CLKGATE_CON(1), 14, GFLAGS), 425 COMPOSITE(0, "clk_uart1_div", mux_2plls_u480m_p, 0, 426 RK3328_CLKSEL_CON(16), 12, 2, MFLAGS, 0, 7, DFLAGS, 427 RK3328_CLKGATE_CON(2), 0, GFLAGS), 428 COMPOSITE(0, "clk_uart2_div", mux_2plls_u480m_p, 0, 429 RK3328_CLKSEL_CON(18), 12, 2, MFLAGS, 0, 7, DFLAGS, 430 RK3328_CLKGATE_CON(2), 2, GFLAGS), 431 COMPOSITE_FRACMUX(0, "clk_uart0_frac", "clk_uart0_div", CLK_SET_RATE_PARENT, 432 RK3328_CLKSEL_CON(15), 0, 433 RK3328_CLKGATE_CON(1), 15, GFLAGS, 434 &rk3328_uart0_fracmux), 435 COMPOSITE_FRACMUX(0, "clk_uart1_frac", "clk_uart1_div", CLK_SET_RATE_PARENT, 436 RK3328_CLKSEL_CON(17), 0, 437 RK3328_CLKGATE_CON(2), 1, GFLAGS, 438 &rk3328_uart1_fracmux), 439 COMPOSITE_FRACMUX(0, "clk_uart2_frac", "clk_uart2_div", CLK_SET_RATE_PARENT, 440 RK3328_CLKSEL_CON(19), 0, 441 RK3328_CLKGATE_CON(2), 3, GFLAGS, 442 &rk3328_uart2_fracmux), 443 444 /* 445 * Clock-Architecture Diagram 4 446 */ 447 448 COMPOSITE(SCLK_I2C0, "clk_i2c0", mux_2plls_p, 0, 449 RK3328_CLKSEL_CON(34), 7, 1, MFLAGS, 0, 7, DFLAGS, 450 RK3328_CLKGATE_CON(2), 9, GFLAGS), 451 COMPOSITE(SCLK_I2C1, "clk_i2c1", mux_2plls_p, 0, 452 RK3328_CLKSEL_CON(34), 15, 1, MFLAGS, 8, 7, DFLAGS, 453 RK3328_CLKGATE_CON(2), 10, GFLAGS), 454 COMPOSITE(SCLK_I2C2, "clk_i2c2", mux_2plls_p, 0, 455 RK3328_CLKSEL_CON(35), 7, 1, MFLAGS, 0, 7, DFLAGS, 456 RK3328_CLKGATE_CON(2), 11, GFLAGS), 457 COMPOSITE(SCLK_I2C3, "clk_i2c3", mux_2plls_p, 0, 458 RK3328_CLKSEL_CON(35), 15, 1, MFLAGS, 8, 7, DFLAGS, 459 RK3328_CLKGATE_CON(2), 12, GFLAGS), 460 COMPOSITE(SCLK_CRYPTO, "clk_crypto", mux_2plls_p, 0, 461 RK3328_CLKSEL_CON(20), 7, 1, MFLAGS, 0, 7, DFLAGS, 462 RK3328_CLKGATE_CON(2), 4, GFLAGS), 463 COMPOSITE_NOMUX(SCLK_TSADC, "clk_tsadc", "clk_24m", 0, 464 RK3328_CLKSEL_CON(22), 0, 10, DFLAGS, 465 RK3328_CLKGATE_CON(2), 6, GFLAGS), 466 COMPOSITE_NOMUX(SCLK_SARADC, "clk_saradc", "clk_24m", 0, 467 RK3328_CLKSEL_CON(23), 0, 10, DFLAGS, 468 RK3328_CLKGATE_CON(2), 14, GFLAGS), 469 COMPOSITE(SCLK_SPI, "clk_spi", mux_2plls_p, 0, 470 RK3328_CLKSEL_CON(24), 7, 1, MFLAGS, 0, 7, DFLAGS, 471 RK3328_CLKGATE_CON(2), 7, GFLAGS), 472 COMPOSITE(SCLK_PWM, "clk_pwm", mux_2plls_p, 0, 473 RK3328_CLKSEL_CON(24), 15, 1, MFLAGS, 8, 7, DFLAGS, 474 RK3328_CLKGATE_CON(2), 8, GFLAGS), 475 COMPOSITE(SCLK_OTP, "clk_otp", mux_2plls_xin24m_p, 0, 476 RK3328_CLKSEL_CON(4), 6, 2, MFLAGS, 0, 6, DFLAGS, 477 RK3328_CLKGATE_CON(3), 8, GFLAGS), 478 COMPOSITE(SCLK_EFUSE, "clk_efuse", mux_2plls_xin24m_p, 0, 479 RK3328_CLKSEL_CON(5), 14, 2, MFLAGS, 8, 5, DFLAGS, 480 RK3328_CLKGATE_CON(2), 13, GFLAGS), 481 COMPOSITE(SCLK_PDM, "clk_pdm", mux_cpll_gpll_apll_p, CLK_SET_RATE_NO_REPARENT | CLK_SET_RATE_PARENT, 482 RK3328_CLKSEL_CON(20), 14, 2, MFLAGS, 8, 5, DFLAGS, 483 RK3328_CLKGATE_CON(2), 15, GFLAGS), 484 485 GATE(SCLK_TIMER0, "sclk_timer0", "xin24m", 0, 486 RK3328_CLKGATE_CON(8), 5, GFLAGS), 487 GATE(SCLK_TIMER1, "sclk_timer1", "xin24m", 0, 488 RK3328_CLKGATE_CON(8), 6, GFLAGS), 489 GATE(SCLK_TIMER2, "sclk_timer2", "xin24m", 0, 490 RK3328_CLKGATE_CON(8), 7, GFLAGS), 491 GATE(SCLK_TIMER3, "sclk_timer3", "xin24m", 0, 492 RK3328_CLKGATE_CON(8), 8, GFLAGS), 493 GATE(SCLK_TIMER4, "sclk_timer4", "xin24m", 0, 494 RK3328_CLKGATE_CON(8), 9, GFLAGS), 495 GATE(SCLK_TIMER5, "sclk_timer5", "xin24m", 0, 496 RK3328_CLKGATE_CON(8), 10, GFLAGS), 497 498 COMPOSITE(SCLK_WIFI, "clk_wifi", mux_2plls_u480m_p, 0, 499 RK3328_CLKSEL_CON(52), 6, 2, MFLAGS, 0, 6, DFLAGS, 500 RK3328_CLKGATE_CON(0), 10, GFLAGS), 501 502 /* 503 * Clock-Architecture Diagram 5 504 */ 505 506 /* PD_VIDEO */ 507 COMPOSITE(ACLK_RKVDEC_PRE, "aclk_rkvdec_pre", mux_4plls_p, 0, 508 RK3328_CLKSEL_CON(48), 6, 2, MFLAGS, 0, 5, DFLAGS, 509 RK3328_CLKGATE_CON(6), 0, GFLAGS), 510 FACTOR_GATE(HCLK_RKVDEC_PRE, "hclk_rkvdec_pre", "aclk_rkvdec_pre", 0, 1, 4, 511 RK3328_CLKGATE_CON(11), 0, GFLAGS), 512 GATE(ACLK_RKVDEC, "aclk_rkvdec", "aclk_rkvdec_pre", CLK_SET_RATE_PARENT, 513 RK3328_CLKGATE_CON(24), 0, GFLAGS), 514 GATE(HCLK_RKVDEC, "hclk_rkvdec", "hclk_rkvdec_pre", CLK_SET_RATE_PARENT, 515 RK3328_CLKGATE_CON(24), 1, GFLAGS), 516 GATE(0, "aclk_rkvdec_niu", "aclk_rkvdec_pre", 0, 517 RK3328_CLKGATE_CON(24), 2, GFLAGS), 518 GATE(0, "hclk_rkvdec_niu", "hclk_rkvdec_pre", 0, 519 RK3328_CLKGATE_CON(24), 3, GFLAGS), 520 521 COMPOSITE(SCLK_VDEC_CABAC, "sclk_vdec_cabac", mux_4plls_p, 0, 522 RK3328_CLKSEL_CON(48), 14, 2, MFLAGS, 8, 5, DFLAGS, 523 RK3328_CLKGATE_CON(6), 1, GFLAGS), 524 525 COMPOSITE(SCLK_VDEC_CORE, "sclk_vdec_core", mux_4plls_p, 0, 526 RK3328_CLKSEL_CON(49), 6, 2, MFLAGS, 0, 5, DFLAGS, 527 RK3328_CLKGATE_CON(6), 2, GFLAGS), 528 529 COMPOSITE(ACLK_VPU_PRE, "aclk_vpu_pre", mux_4plls_p, 0, 530 RK3328_CLKSEL_CON(50), 6, 2, MFLAGS, 0, 5, DFLAGS, 531 RK3328_CLKGATE_CON(6), 5, GFLAGS), 532 FACTOR_GATE(HCLK_VPU_PRE, "hclk_vpu_pre", "aclk_vpu_pre", 0, 1, 4, 533 RK3328_CLKGATE_CON(11), 8, GFLAGS), 534 GATE(ACLK_VPU, "aclk_vpu", "aclk_vpu_pre", CLK_SET_RATE_PARENT, 535 RK3328_CLKGATE_CON(23), 0, GFLAGS), 536 GATE(HCLK_VPU, "hclk_vpu", "hclk_vpu_pre", CLK_SET_RATE_PARENT, 537 RK3328_CLKGATE_CON(23), 1, GFLAGS), 538 GATE(0, "aclk_vpu_niu", "aclk_vpu_pre", 0, 539 RK3328_CLKGATE_CON(23), 2, GFLAGS), 540 GATE(0, "hclk_vpu_niu", "hclk_vpu_pre", 0, 541 RK3328_CLKGATE_CON(23), 3, GFLAGS), 542 543 COMPOSITE(ACLK_RKVENC, "aclk_rkvenc", mux_4plls_p, 0, 544 RK3328_CLKSEL_CON(51), 6, 2, MFLAGS, 0, 5, DFLAGS, 545 RK3328_CLKGATE_CON(6), 3, GFLAGS), 546 FACTOR_GATE(HCLK_RKVENC, "hclk_rkvenc", "aclk_rkvenc", 0, 1, 4, 547 RK3328_CLKGATE_CON(11), 4, GFLAGS), 548 GATE(0, "aclk_rkvenc_niu", "aclk_rkvenc", 0, 549 RK3328_CLKGATE_CON(25), 0, GFLAGS), 550 GATE(0, "hclk_rkvenc_niu", "hclk_rkvenc", 0, 551 RK3328_CLKGATE_CON(25), 1, GFLAGS), 552 GATE(ACLK_H265, "aclk_h265", "aclk_rkvenc", 0, 553 RK3328_CLKGATE_CON(25), 0, GFLAGS), 554 GATE(PCLK_H265, "pclk_h265", "hclk_rkvenc", 0, 555 RK3328_CLKGATE_CON(25), 1, GFLAGS), 556 GATE(ACLK_H264, "aclk_h264", "aclk_rkvenc", 0, 557 RK3328_CLKGATE_CON(25), 0, GFLAGS), 558 GATE(HCLK_H264, "hclk_h264", "hclk_rkvenc", 0, 559 RK3328_CLKGATE_CON(25), 1, GFLAGS), 560 GATE(ACLK_AXISRAM, "aclk_axisram", "aclk_rkvenc", CLK_IGNORE_UNUSED, 561 RK3328_CLKGATE_CON(25), 0, GFLAGS), 562 563 COMPOSITE(SCLK_VENC_CORE, "sclk_venc_core", mux_4plls_p, 0, 564 RK3328_CLKSEL_CON(51), 14, 2, MFLAGS, 8, 5, DFLAGS, 565 RK3328_CLKGATE_CON(6), 4, GFLAGS), 566 567 COMPOSITE(SCLK_VENC_DSP, "sclk_venc_dsp", mux_4plls_p, 0, 568 RK3328_CLKSEL_CON(52), 14, 2, MFLAGS, 8, 5, DFLAGS, 569 RK3328_CLKGATE_CON(6), 7, GFLAGS), 570 571 /* 572 * Clock-Architecture Diagram 6 573 */ 574 575 /* PD_VIO */ 576 COMPOSITE(ACLK_VIO_PRE, "aclk_vio_pre", mux_4plls_p, 0, 577 RK3328_CLKSEL_CON(37), 6, 2, MFLAGS, 0, 5, DFLAGS, 578 RK3328_CLKGATE_CON(5), 2, GFLAGS), 579 DIV(HCLK_VIO_PRE, "hclk_vio_pre", "aclk_vio_pre", 0, 580 RK3328_CLKSEL_CON(37), 8, 5, DFLAGS), 581 582 COMPOSITE(ACLK_RGA_PRE, "aclk_rga_pre", mux_4plls_p, 0, 583 RK3328_CLKSEL_CON(36), 14, 2, MFLAGS, 8, 5, DFLAGS, 584 RK3328_CLKGATE_CON(5), 0, GFLAGS), 585 COMPOSITE(SCLK_RGA, "clk_rga", mux_4plls_p, 0, 586 RK3328_CLKSEL_CON(36), 6, 2, MFLAGS, 0, 5, DFLAGS, 587 RK3328_CLKGATE_CON(5), 1, GFLAGS), 588 COMPOSITE(ACLK_VOP_PRE, "aclk_vop_pre", mux_4plls_p, 0, 589 RK3328_CLKSEL_CON(39), 6, 2, MFLAGS, 0, 5, DFLAGS, 590 RK3328_CLKGATE_CON(5), 5, GFLAGS), 591 GATE(SCLK_HDMI_SFC, "sclk_hdmi_sfc", "xin24m", 0, 592 RK3328_CLKGATE_CON(5), 4, GFLAGS), 593 594 COMPOSITE_NODIV(0, "clk_cif_src", mux_2plls_p, 0, 595 RK3328_CLKSEL_CON(42), 7, 1, MFLAGS, 596 RK3328_CLKGATE_CON(5), 3, GFLAGS), 597 COMPOSITE_NOGATE(SCLK_CIF_OUT, "clk_cif_out", mux_sclk_cif_p, CLK_SET_RATE_PARENT, 598 RK3328_CLKSEL_CON(42), 5, 1, MFLAGS, 0, 5, DFLAGS), 599 600 COMPOSITE(DCLK_LCDC_SRC, "dclk_lcdc_src", mux_gpll_cpll_p, 0, 601 RK3328_CLKSEL_CON(40), 0, 1, MFLAGS, 8, 8, DFLAGS, 602 RK3328_CLKGATE_CON(5), 6, GFLAGS), 603 DIV(DCLK_HDMIPHY, "dclk_hdmiphy", "dclk_lcdc_src", 0, 604 RK3328_CLKSEL_CON(40), 3, 3, DFLAGS), 605 MUX(DCLK_LCDC, "dclk_lcdc", mux_dclk_lcdc_p, CLK_SET_RATE_PARENT | CLK_SET_RATE_NO_REPARENT, 606 RK3328_CLKSEL_CON(40), 1, 1, MFLAGS), 607 608 /* 609 * Clock-Architecture Diagram 7 610 */ 611 612 /* PD_PERI */ 613 GATE(0, "gpll_peri", "gpll", CLK_IGNORE_UNUSED, 614 RK3328_CLKGATE_CON(4), 0, GFLAGS), 615 GATE(0, "cpll_peri", "cpll", CLK_IGNORE_UNUSED, 616 RK3328_CLKGATE_CON(4), 1, GFLAGS), 617 GATE(0, "hdmiphy_peri", "hdmiphy", CLK_IGNORE_UNUSED, 618 RK3328_CLKGATE_CON(4), 2, GFLAGS), 619 COMPOSITE_NOGATE(ACLK_PERI_PRE, "aclk_peri_pre", mux_aclk_peri_pre_p, 0, 620 RK3328_CLKSEL_CON(28), 6, 2, MFLAGS, 0, 5, DFLAGS), 621 COMPOSITE_NOMUX(PCLK_PERI, "pclk_peri", "aclk_peri_pre", CLK_IGNORE_UNUSED, 622 RK3328_CLKSEL_CON(29), 0, 2, DFLAGS, 623 RK3328_CLKGATE_CON(10), 2, GFLAGS), 624 COMPOSITE_NOMUX(HCLK_PERI, "hclk_peri", "aclk_peri_pre", CLK_IGNORE_UNUSED, 625 RK3328_CLKSEL_CON(29), 4, 3, DFLAGS, 626 RK3328_CLKGATE_CON(10), 1, GFLAGS), 627 GATE(ACLK_PERI, "aclk_peri", "aclk_peri_pre", CLK_IGNORE_UNUSED | CLK_SET_RATE_PARENT, 628 RK3328_CLKGATE_CON(10), 0, GFLAGS), 629 630 COMPOSITE(SCLK_SDMMC, "clk_sdmmc", mux_2plls_24m_u480m_p, 0, 631 RK3328_CLKSEL_CON(30), 8, 2, MFLAGS, 0, 8, DFLAGS, 632 RK3328_CLKGATE_CON(4), 3, GFLAGS), 633 634 COMPOSITE(SCLK_SDIO, "clk_sdio", mux_2plls_24m_u480m_p, 0, 635 RK3328_CLKSEL_CON(31), 8, 2, MFLAGS, 0, 8, DFLAGS, 636 RK3328_CLKGATE_CON(4), 4, GFLAGS), 637 638 COMPOSITE(SCLK_EMMC, "clk_emmc", mux_2plls_24m_u480m_p, 0, 639 RK3328_CLKSEL_CON(32), 8, 2, MFLAGS, 0, 8, DFLAGS, 640 RK3328_CLKGATE_CON(4), 5, GFLAGS), 641 642 COMPOSITE(SCLK_SDMMC_EXT, "clk_sdmmc_ext", mux_2plls_24m_u480m_p, 0, 643 RK3328_CLKSEL_CON(43), 8, 2, MFLAGS, 0, 8, DFLAGS, 644 RK3328_CLKGATE_CON(4), 10, GFLAGS), 645 646 COMPOSITE(SCLK_REF_USB3OTG_SRC, "clk_ref_usb3otg_src", mux_2plls_p, 0, 647 RK3328_CLKSEL_CON(45), 7, 1, MFLAGS, 0, 7, DFLAGS, 648 RK3328_CLKGATE_CON(4), 9, GFLAGS), 649 650 MUX(SCLK_REF_USB3OTG, "clk_ref_usb3otg", mux_ref_usb3otg_src_p, CLK_SET_RATE_PARENT, 651 RK3328_CLKSEL_CON(45), 8, 1, MFLAGS), 652 653 GATE(SCLK_USB3OTG_REF, "clk_usb3otg_ref", "xin24m", 0, 654 RK3328_CLKGATE_CON(4), 7, GFLAGS), 655 656 COMPOSITE(SCLK_USB3OTG_SUSPEND, "clk_usb3otg_suspend", mux_xin24m_32k_p, 0, 657 RK3328_CLKSEL_CON(33), 15, 1, MFLAGS, 0, 10, DFLAGS, 658 RK3328_CLKGATE_CON(4), 8, GFLAGS), 659 660 /* 661 * Clock-Architecture Diagram 8 662 */ 663 664 /* PD_GMAC */ 665 COMPOSITE(ACLK_GMAC, "aclk_gmac", mux_2plls_hdmiphy_p, 0, 666 RK3328_CLKSEL_CON(35), 6, 2, MFLAGS, 0, 5, DFLAGS, 667 RK3328_CLKGATE_CON(3), 2, GFLAGS), 668 COMPOSITE_NOMUX(PCLK_GMAC, "pclk_gmac", "aclk_gmac", 0, 669 RK3328_CLKSEL_CON(25), 8, 3, DFLAGS, 670 RK3328_CLKGATE_CON(9), 0, GFLAGS), 671 672 COMPOSITE(SCLK_MAC2IO_SRC, "clk_mac2io_src", mux_2plls_p, 0, 673 RK3328_CLKSEL_CON(27), 7, 1, MFLAGS, 0, 5, DFLAGS, 674 RK3328_CLKGATE_CON(3), 1, GFLAGS), 675 GATE(SCLK_MAC2IO_REF, "clk_mac2io_ref", "clk_mac2io", 0, 676 RK3328_CLKGATE_CON(9), 7, GFLAGS), 677 GATE(SCLK_MAC2IO_RX, "clk_mac2io_rx", "clk_mac2io", 0, 678 RK3328_CLKGATE_CON(9), 4, GFLAGS), 679 GATE(SCLK_MAC2IO_TX, "clk_mac2io_tx", "clk_mac2io", 0, 680 RK3328_CLKGATE_CON(9), 5, GFLAGS), 681 GATE(SCLK_MAC2IO_REFOUT, "clk_mac2io_refout", "clk_mac2io", 0, 682 RK3328_CLKGATE_CON(9), 6, GFLAGS), 683 COMPOSITE(SCLK_MAC2IO_OUT, "clk_mac2io_out", mux_2plls_p, 0, 684 RK3328_CLKSEL_CON(27), 15, 1, MFLAGS, 8, 5, DFLAGS, 685 RK3328_CLKGATE_CON(3), 5, GFLAGS), 686 MUXGRF(SCLK_MAC2IO, "clk_mac2io", mux_mac2io_src_p, CLK_SET_RATE_NO_REPARENT, 687 RK3328_GRF_MAC_CON1, 10, 1, MFLAGS), 688 MUXGRF(SCLK_MAC2IO_EXT, "clk_mac2io_ext", mux_mac2io_ext_p, CLK_SET_RATE_NO_REPARENT, 689 RK3328_GRF_SOC_CON4, 14, 1, MFLAGS), 690 691 COMPOSITE(SCLK_MAC2PHY_SRC, "clk_mac2phy_src", mux_2plls_p, 0, 692 RK3328_CLKSEL_CON(26), 7, 1, MFLAGS, 0, 5, DFLAGS, 693 RK3328_CLKGATE_CON(3), 0, GFLAGS), 694 GATE(SCLK_MAC2PHY_REF, "clk_mac2phy_ref", "clk_mac2phy", 0, 695 RK3328_CLKGATE_CON(9), 3, GFLAGS), 696 GATE(SCLK_MAC2PHY_RXTX, "clk_mac2phy_rxtx", "clk_mac2phy", 0, 697 RK3328_CLKGATE_CON(9), 1, GFLAGS), 698 COMPOSITE_NOMUX(SCLK_MAC2PHY_OUT, "clk_mac2phy_out", "clk_mac2phy", 0, 699 RK3328_CLKSEL_CON(26), 8, 2, DFLAGS, 700 RK3328_CLKGATE_CON(9), 2, GFLAGS), 701 MUXGRF(SCLK_MAC2PHY, "clk_mac2phy", mux_mac2phy_src_p, CLK_SET_RATE_NO_REPARENT, 702 RK3328_GRF_MAC_CON2, 10, 1, MFLAGS), 703 704 FACTOR(0, "xin12m", "xin24m", 0, 1, 2), 705 706 /* 707 * Clock-Architecture Diagram 9 708 */ 709 710 /* PD_VOP */ 711 GATE(ACLK_RGA, "aclk_rga", "aclk_rga_pre", 0, RK3328_CLKGATE_CON(21), 10, GFLAGS), 712 GATE(0, "aclk_rga_niu", "aclk_rga_pre", 0, RK3328_CLKGATE_CON(22), 3, GFLAGS), 713 GATE(ACLK_VOP, "aclk_vop", "aclk_vop_pre", 0, RK3328_CLKGATE_CON(21), 2, GFLAGS), 714 GATE(0, "aclk_vop_niu", "aclk_vop_pre", 0, RK3328_CLKGATE_CON(21), 4, GFLAGS), 715 716 GATE(ACLK_IEP, "aclk_iep", "aclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 6, GFLAGS), 717 GATE(ACLK_CIF, "aclk_cif", "aclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 8, GFLAGS), 718 GATE(ACLK_HDCP, "aclk_hdcp", "aclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 15, GFLAGS), 719 GATE(0, "aclk_vio_niu", "aclk_vio_pre", 0, RK3328_CLKGATE_CON(22), 2, GFLAGS), 720 721 GATE(HCLK_VOP, "hclk_vop", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 3, GFLAGS), 722 GATE(0, "hclk_vop_niu", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 5, GFLAGS), 723 GATE(HCLK_IEP, "hclk_iep", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 7, GFLAGS), 724 GATE(HCLK_CIF, "hclk_cif", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 9, GFLAGS), 725 GATE(HCLK_RGA, "hclk_rga", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 11, GFLAGS), 726 GATE(0, "hclk_ahb1tom", "hclk_vio_pre", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(21), 12, GFLAGS), 727 GATE(0, "pclk_vio_h2p", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 13, GFLAGS), 728 GATE(0, "hclk_vio_h2p", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(21), 14, GFLAGS), 729 GATE(HCLK_HDCP, "hclk_hdcp", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(22), 0, GFLAGS), 730 GATE(0, "hclk_vio_niu", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(22), 1, GFLAGS), 731 GATE(PCLK_HDMI, "pclk_hdmi", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(22), 4, GFLAGS), 732 GATE(PCLK_HDCP, "pclk_hdcp", "hclk_vio_pre", 0, RK3328_CLKGATE_CON(22), 5, GFLAGS), 733 734 /* PD_PERI */ 735 GATE(0, "aclk_peri_noc", "aclk_peri", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(19), 11, GFLAGS), 736 GATE(ACLK_USB3OTG, "aclk_usb3otg", "aclk_peri", 0, RK3328_CLKGATE_CON(19), 4, GFLAGS), 737 738 GATE(HCLK_SDMMC, "hclk_sdmmc", "hclk_peri", 0, RK3328_CLKGATE_CON(19), 0, GFLAGS), 739 GATE(HCLK_SDIO, "hclk_sdio", "hclk_peri", 0, RK3328_CLKGATE_CON(19), 1, GFLAGS), 740 GATE(HCLK_EMMC, "hclk_emmc", "hclk_peri", 0, RK3328_CLKGATE_CON(19), 2, GFLAGS), 741 GATE(HCLK_SDMMC_EXT, "hclk_sdmmc_ext", "hclk_peri", 0, RK3328_CLKGATE_CON(19), 15, GFLAGS), 742 GATE(HCLK_HOST0, "hclk_host0", "hclk_peri", 0, RK3328_CLKGATE_CON(19), 6, GFLAGS), 743 GATE(HCLK_HOST0_ARB, "hclk_host0_arb", "hclk_peri", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(19), 7, GFLAGS), 744 GATE(HCLK_OTG, "hclk_otg", "hclk_peri", 0, RK3328_CLKGATE_CON(19), 8, GFLAGS), 745 GATE(HCLK_OTG_PMU, "hclk_otg_pmu", "hclk_peri", 0, RK3328_CLKGATE_CON(19), 9, GFLAGS), 746 GATE(0, "hclk_peri_niu", "hclk_peri", 0, RK3328_CLKGATE_CON(19), 12, GFLAGS), 747 GATE(0, "pclk_peri_niu", "hclk_peri", 0, RK3328_CLKGATE_CON(19), 13, GFLAGS), 748 749 /* PD_GMAC */ 750 GATE(ACLK_MAC2PHY, "aclk_mac2phy", "aclk_gmac", 0, RK3328_CLKGATE_CON(26), 0, GFLAGS), 751 GATE(ACLK_MAC2IO, "aclk_mac2io", "aclk_gmac", 0, RK3328_CLKGATE_CON(26), 2, GFLAGS), 752 GATE(0, "aclk_gmac_niu", "aclk_gmac", 0, RK3328_CLKGATE_CON(26), 4, GFLAGS), 753 GATE(PCLK_MAC2PHY, "pclk_mac2phy", "pclk_gmac", 0, RK3328_CLKGATE_CON(26), 1, GFLAGS), 754 GATE(PCLK_MAC2IO, "pclk_mac2io", "pclk_gmac", 0, RK3328_CLKGATE_CON(26), 3, GFLAGS), 755 GATE(0, "pclk_gmac_niu", "pclk_gmac", 0, RK3328_CLKGATE_CON(26), 5, GFLAGS), 756 757 /* PD_BUS */ 758 GATE(0, "aclk_bus_niu", "aclk_bus_pre", 0, RK3328_CLKGATE_CON(15), 12, GFLAGS), 759 GATE(ACLK_DCF, "aclk_dcf", "aclk_bus_pre", 0, RK3328_CLKGATE_CON(15), 11, GFLAGS), 760 GATE(ACLK_TSP, "aclk_tsp", "aclk_bus_pre", 0, RK3328_CLKGATE_CON(17), 12, GFLAGS), 761 GATE(0, "aclk_intmem", "aclk_bus_pre", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(15), 0, GFLAGS), 762 GATE(ACLK_DMAC, "aclk_dmac_bus", "aclk_bus_pre", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(15), 1, GFLAGS), 763 764 GATE(0, "hclk_rom", "hclk_bus_pre", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(15), 2, GFLAGS), 765 GATE(HCLK_I2S0_8CH, "hclk_i2s0_8ch", "hclk_bus_pre", 0, RK3328_CLKGATE_CON(15), 3, GFLAGS), 766 GATE(HCLK_I2S1_8CH, "hclk_i2s1_8ch", "hclk_bus_pre", 0, RK3328_CLKGATE_CON(15), 4, GFLAGS), 767 GATE(HCLK_I2S2_2CH, "hclk_i2s2_2ch", "hclk_bus_pre", 0, RK3328_CLKGATE_CON(15), 5, GFLAGS), 768 GATE(HCLK_SPDIF_8CH, "hclk_spdif_8ch", "hclk_bus_pre", 0, RK3328_CLKGATE_CON(15), 6, GFLAGS), 769 GATE(HCLK_TSP, "hclk_tsp", "hclk_bus_pre", 0, RK3328_CLKGATE_CON(17), 11, GFLAGS), 770 GATE(HCLK_CRYPTO_MST, "hclk_crypto_mst", "hclk_bus_pre", 0, RK3328_CLKGATE_CON(15), 7, GFLAGS), 771 GATE(HCLK_CRYPTO_SLV, "hclk_crypto_slv", "hclk_bus_pre", 0, RK3328_CLKGATE_CON(15), 8, GFLAGS), 772 GATE(0, "hclk_bus_niu", "hclk_bus_pre", 0, RK3328_CLKGATE_CON(15), 13, GFLAGS), 773 GATE(HCLK_PDM, "hclk_pdm", "hclk_bus_pre", 0, RK3328_CLKGATE_CON(28), 0, GFLAGS), 774 775 GATE(0, "pclk_bus_niu", "pclk_bus", 0, RK3328_CLKGATE_CON(15), 14, GFLAGS), 776 GATE(0, "pclk_efuse", "pclk_bus", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(15), 9, GFLAGS), 777 GATE(0, "pclk_otp", "pclk_bus", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(28), 4, GFLAGS), 778 GATE(PCLK_I2C0, "pclk_i2c0", "pclk_bus", 0, RK3328_CLKGATE_CON(15), 10, GFLAGS), 779 GATE(PCLK_I2C1, "pclk_i2c1", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 0, GFLAGS), 780 GATE(PCLK_I2C2, "pclk_i2c2", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 1, GFLAGS), 781 GATE(PCLK_I2C3, "pclk_i2c3", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 2, GFLAGS), 782 GATE(PCLK_TIMER, "pclk_timer0", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 3, GFLAGS), 783 GATE(0, "pclk_stimer", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 4, GFLAGS), 784 GATE(PCLK_SPI, "pclk_spi", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 5, GFLAGS), 785 GATE(PCLK_PWM, "pclk_rk_pwm", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 6, GFLAGS), 786 GATE(PCLK_GPIO0, "pclk_gpio0", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 7, GFLAGS), 787 GATE(PCLK_GPIO1, "pclk_gpio1", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 8, GFLAGS), 788 GATE(PCLK_GPIO2, "pclk_gpio2", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 9, GFLAGS), 789 GATE(PCLK_GPIO3, "pclk_gpio3", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 10, GFLAGS), 790 GATE(PCLK_UART0, "pclk_uart0", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 11, GFLAGS), 791 GATE(PCLK_UART1, "pclk_uart1", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 12, GFLAGS), 792 GATE(PCLK_UART2, "pclk_uart2", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 13, GFLAGS), 793 GATE(PCLK_TSADC, "pclk_tsadc", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 14, GFLAGS), 794 GATE(PCLK_DCF, "pclk_dcf", "pclk_bus", 0, RK3328_CLKGATE_CON(16), 15, GFLAGS), 795 GATE(PCLK_GRF, "pclk_grf", "pclk_bus", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(17), 0, GFLAGS), 796 GATE(0, "pclk_cru", "pclk_bus", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(17), 4, GFLAGS), 797 GATE(0, "pclk_sgrf", "pclk_bus", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(17), 6, GFLAGS), 798 GATE(0, "pclk_sim", "pclk_bus", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(17), 10, GFLAGS), 799 GATE(PCLK_SARADC, "pclk_saradc", "pclk_bus", 0, RK3328_CLKGATE_CON(17), 15, GFLAGS), 800 GATE(0, "pclk_pmu", "pclk_bus", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(28), 3, GFLAGS), 801 802 GATE(PCLK_USB3PHY_OTG, "pclk_usb3phy_otg", "pclk_phy_pre", 0, RK3328_CLKGATE_CON(28), 1, GFLAGS), 803 GATE(PCLK_USB3PHY_PIPE, "pclk_usb3phy_pipe", "pclk_phy_pre", 0, RK3328_CLKGATE_CON(28), 2, GFLAGS), 804 GATE(PCLK_USB3_GRF, "pclk_usb3_grf", "pclk_phy_pre", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(17), 2, GFLAGS), 805 GATE(PCLK_USB2_GRF, "pclk_usb2_grf", "pclk_phy_pre", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(17), 14, GFLAGS), 806 GATE(0, "pclk_ddrphy", "pclk_phy_pre", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(17), 13, GFLAGS), > 807 GATE(PCLK_ACODECPHY, "pclk_acodecphy", "pclk_phy_pre", 0, RK3328_CLKGATE_CON(17), 5, GFLAGS), 808 GATE(PCLK_HDMIPHY, "pclk_hdmiphy", "pclk_phy_pre", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(17), 7, GFLAGS), 809 GATE(0, "pclk_vdacphy", "pclk_phy_pre", CLK_IGNORE_UNUSED, RK3328_CLKGATE_CON(17), 8, GFLAGS), 810 GATE(0, "pclk_phy_niu", "pclk_phy_pre", 0, RK3328_CLKGATE_CON(15), 15, GFLAGS), 811 812 /* PD_MMC */ 813 MMC(SCLK_SDMMC_DRV, "sdmmc_drv", "clk_sdmmc", 814 RK3328_SDMMC_CON0, 1), 815 MMC(SCLK_SDMMC_SAMPLE, "sdmmc_sample", "clk_sdmmc", 816 RK3328_SDMMC_CON1, 0), 817 818 MMC(SCLK_SDIO_DRV, "sdio_drv", "clk_sdio", 819 RK3328_SDIO_CON0, 1), 820 MMC(SCLK_SDIO_SAMPLE, "sdio_sample", "clk_sdio", 821 RK3328_SDIO_CON1, 0), 822 823 MMC(SCLK_EMMC_DRV, "emmc_drv", "clk_emmc", 824 RK3328_EMMC_CON0, 1), 825 MMC(SCLK_EMMC_SAMPLE, "emmc_sample", "clk_emmc", 826 RK3328_EMMC_CON1, 0), 827 828 MMC(SCLK_SDMMC_EXT_DRV, "sdmmc_ext_drv", "clk_sdmmc_ext", 829 RK3328_SDMMC_EXT_CON0, 1), 830 MMC(SCLK_SDMMC_EXT_SAMPLE, "sdmmc_ext_sample", "clk_sdmmc_ext", 831 RK3328_SDMMC_EXT_CON1, 0), 832 }; 833 --- 0-DAY kernel test infrastructure Open Source Technology Center https://lists.01.org/pipermail/kbuild-all Intel Corporation --OgqxwSJOaUobr8KG Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICKzdB1wAAy5jb25maWcAjDxbc9u20u/9FZr2pZ0z6ZFkxXa+b/wAgqCEircAoGTnhaPa SuqpLaey3Db//uwCvAAgqLaTScPdxW2xWOwN+uG7Hybk7fTyvDs93u+enr5NvuwP++PutH+Y fH582v//JC4meaEmLObqZyBOHw9vf/93d3y+XEwWP8+nP0/fHe9nk/X+eNg/TejL4fPjlzdo //hy+O6H7+DPDwB8/gpdHf9vstsd73+7XLx7wk7efTm8vftyfz/5Md7/+rg7TK5+nkNvs9lP 5l/QlhZ5wpc1pTWX9ZLSm28tCD7qDROSF/nN1XQ+nXa0KcmXHaoDc/Gx3hZi3fcQVTyNFc9Y zW4ViVJWy0KoHq9WgpG45nlSwF+1IhIb6wUtNYueJq/709vXfpo856pm+aYmYlmnPOPq5mKO 629mVmQlh2EUk2ry+Do5vJywh7Z1WlCStvP+/vsQuCaVKrwV1JKkyqKPWUKqVNWrQqqcZOzm +x8PL4f9Tx2B3JKy70PeyQ0v6QCA/6cq7eFlIfltnX2sWMXC0EETKgop64xlhbiriVKErgDZ 8aOSLOVRgBOkAlnru1mRDQOW0pVB4CgktYY5A623ROlBHaASjLVbCXIxeX379fXb62n/3G/l kuVMcKrFphRFZC3ZRslVsR3H1CnbsDSMZ0nCqOK4siSpMyNcAbqMLwVRKBIWP0QMKAk7WQsm WR6Hm9IVtzYaIXGREZ6HYPWKM4E8vhv2lUmOlKOIQbcrkscg503PTlMkTwpBWdycL54vLdkr iZCsadHJib2mmEXVMpEBoXGYBgeAN9MQ1u6jDFE4UGtZVDCHOiaKDJeldcJmIFAtWncAG5sr 6XW9IhIa03UdiYLElEh1trVDpoVRPT7vj68hedTdFjkDsbI6zYt69Qn1Sqblo+MYAEsYrYg5 DXDKtOLAG7uNgSZVmtpNXHSgsxVfrlAKNdeEtHss4ZhlpYKmOQv22RJsirTKFRF3gf4bGkvj NI1oAW1axtGy+q/avf4+OQEHJ7vDw+T1tDu9Tnb39y9vh9Pj4YvHSmhQE6r7MBLYTWrDhfLQ uHmBqaGkaVlxOrKVlqQrEHSyWbqHIJIxKhXKQDtCWzWOqTcX1oUESkIqYosdguBMpOTO60gj bgMwXoysu5Q8sEZkBJdF2qogzW1Bq4kcymi7M4C2O4ZPuGFBHkOXnjTE7QyhBx+Ei64dEHYI fEjTXvItTM6A5ZItaZRy+wCayzLi+dy67Pja/GMI0dvQg9MCe0hArfNE3cyubDjyKCO3Nn7e 84Tnag13dML8Pi581WCkRSsIT7HIqizBNpF1XmWkjgiYONSRNpcKhpzNry01MdLKhXeWA8vR GrIuFboURVVaYleSJTMH0FavcNHTpffpWRs9bDiKwa3hf9ampetmdFui9CVg4UJyqxH1VnDF ImIztMFoZvfQhHBRu5jebktAVcNdsuWxWgUVGWgMq+34dEoeOxqyAYs4I8F+G3wCx+ITE+P9 rqolU2lkLbIE48pWFCjNOHyDGbAjZhtOWWBuQI9a5MyamEgC7fQehS4fMEnhmgcN18+hQsm2 vtH8tL9hxsIB4ELs75wp893PYsXouizgJODlpArBQsrHqGewqQciBrc/7HrMQKdRotw97bcd FW+gX5RMYKj2B4QlZPqbZNCxsT8sq13E9fKTba4BIALA3IGknzLiAG4/efjC+15YO03rooT7 in9iaILpjStEBoff3XePTMI/QrzzbH0CtzwssIjtjdWXYMXj2aXjX0BDuBEoK/FSAaVPqGVe R6UjTqM3h9etNvlQUJyRkNW+HZcYu9D3YTr7xdHc/nedZ9y+Uyx9x9IEdKKwl0LAmEWLyhq8 UuzW+wRxtnopC5te8mVO0sQSIj1PG6CNSRsgV44OJdwSChJvuGQtU6zlQpOICMFtBq6R5C6T Q0jtcLSD6gXjqUDHxt5F2NR2zOBJwo3Tl08S0p6dcd1PEnrLqcducB0cvwGIWRwH9bEWTDwA dWfIa8umiWKU++Pnl+Pz7nC/n7A/9wewJAnYlBRtSTDQLZPH6cK7oDQSVlZvMlh3ETLFN5lp 3V6mFqtlWkWmI+d0FllJFHgO6yAfZUpCDjX2ZfdMImClgDu8ufIdzYdYvHHQfKoFnJUiGx2r J0SnFOyYELP1StBIAu9OcZI6J1SxTLthGLHhCaeerwv3VsJTz9bJLi21drmI7GBBllUeqbFW fMPMoOBDNaiFI21ZBiaKyNFghMspA+92dn2OgNzeXFyECdot6zqa/Qs66G922bFJgQWj1WRr 41l3SpqyJUlrfdfBudiQtGI3078f9ruHqfVfb7DSNVxrw45M/+CnJClZyiFebCVs1S1dLUkM l2a6LMC2Wll6pjVjHaVoATvF0M5VDslWWwb+ZMhtllVgKNAnPBJwPxsfqCf4BC5nDVbVhXV/ 6jPftlxWdgwssz7WTOQsrTO4ycCusGUxgVuCEZHewXftqNhyaQKIOt4jPVHqzPJKB5L8oIG2 zNaoyWq4CzqPtnzanVAHwUF62t830dTu7JloGMWzEoqFNOPmt9wbjKQlz5kHjGg2v754P4SC IeY4QQbORGoHewxQ0EyqyIOy27u88Ne7vvAAsHEgC5SU/rzS5cx3hFZc+kvKWMxBAnxKsDIL f5bZBtSpB/sIx85WfxooGEmhyzHOChAiSfyFiWtydbUgsyCYTkPgDz7TDfQyDA0TX4V7vg6D P4yAB32DmnDjh0amGFEq9TdKKgxR3s78vuGO/gjewyAEp9hSEJ+2tC1lQ7aq8njY2EDnHrjK ebniA+oN2I/gAPh7dYt6wIN9uvUBMH2tGfTJi94wMPf168vxZN3/1BJH+GhinjIIbJWPixzY pwBkqDCiyrEpVoUq08q0QZJQrAzARLOgj+oYEFjmvzDXi3NIakZFyD7RzWWZDboE2KiLZxEM rIsOVxZbOPWgkkfn1JOhCv1XxL1HMzKtusyYP506Luk4Y0qVhfvC6Le7k2PhcMR9rLhYS2/o IQcdLGohuK6bSIn2U0dppaqiUSQa3x7ewjoBRQSAx5u5kEjwGGwoBk6Wi+DFxl8T+EnjEyGS hyxExIE1aedKLHEPAuH2025T8HwgruZR2G61CSn89Y9EcuUKiLmfoeH9y+F0fHl62h8nD8fH P13PAKcBNvGGiC5tSHcPe3QpALe3Gtt6xRU+SsCmBqsMc3njInqLBvJtnW/DvhXOJFHw92w6 HeG9p5B1r4IS4W42zmIQcu0QvXILzW5kYE8Pd6DB4WK+LdLD6hLMSjz3QeTglOrJNpcIaIzs DHZwEFjgXnTAuv3Ns8eC1kQZ38KsiMAw5ulAzOL96+OXw3Z31FIDHij8QwZuIdBiW29O8dbM ZqDutppjA6FyzuLQJNPc4YNtaewnGwSuFYlJfb324GsuPLXIdI+1sRy7Y8UOD19fHg/+cQA9 GGvPIXgWX/96PN3/Fj6Srqbcwh+u6Eqx4alu/P9kvzu9HfevbQEDrGiyPx53p93kr5fj77vj y9vh4XXy5+NucvptP9k9naDd7gTjvU4+H3fPe6Tq98f4mkyAlVRl9fX88mL2wXdSe+zVWexi ejmOnX1YXM1HsRfz6dX7cexiPp+OYhfvr87ManGxGMfOpvPF1ex6FD27vr6+spwCSjYc4C1+ Pr+wZ+1jL2aLxTns+zPYq8X7y1HsxXQ2s8ZFOa8Tkq4LYa1sevGPFB88io9xAps47UimU9uw lwUFnw78wN54xrwat+9oPDMph49+mMvZ5XR6PZ2fnw2bTRczfx8Wax0gcWwTg5ldNqig3jI0 l4t/ptkQE9S4+BB0qGySxUBMGszN4tqFl6Mtyr5FHzYrKwAuUYVwEsrlmlRA5lxfBiazkJbM hc6k3Fx2vn5rMQC4nxFm2KwvjI434aYuEIUBQjBccYY6H4dENbd8IZNJZsrkWkxqGkxDq1vM eLYoHfSsEy7wroZ7zFLCqyJlmBzU0RHHdPpUh20DQMzfTz3SC5fU6yXczQ1047J6JTAP7zuB baikCaCCYGkTeOArYplIxrLGNB5F9w6Ig2cp+EJtXRIGelKP2yYwk+QYTXO2YutFfNsl3cl+ 7k0yLvF9zi3JlUaCEwJitSLCnziGuCkB9tRgMjGdebHGYBQjhNb9SQTBYgXngm9gfl1CYFPW 7JZZjKWCyFUdV/YItyxHZ2bqQPoPXcejM98oUIVAX70PblY5BjabaBqYGyyd2lzGaDH4FeCr YQgMDCSqCjEgYOkcXHhESf+YSxnFns7aXP9Txq5puq2VisQU2JUPTAAg+fP659kEKxUfT/t7 MAR2T5PPvUXgdAUSQZI4yoZKKPdBKRx0ooqM08FaNquuHs0MP/+Xw1ekGOpt8HFDPqtBwjZj veNgdjQvBxzeMB8Eh6UCV7RM3XyHyRJgxhAzQAOWRi/w9fIVg5ivbhQT+9TOGgjuGmsQwGZX BS3SwPxpFuOxwKRpr9cNzIhioA1LjGvcrwMg/UdsJ/NM/N051LoQsoMbW/PlL7Atn3eH3Zf9 8/5gr6ttV8nSqclrAG0a377IIzgeGL7GCBCWKcgh0k2HZLCc2CRSlFsniqiUsdIlRkgT3+6V RKZjCRoXdkkyUFZrpgvlQh5C5vU2GgjKapqunQm18fdBnGz7sYn1sCTh4BmArhxo72H7wJJ9 iiKxthiTUM5Z1THljtGYCpZ8eKPYJCtGhkHJdout9m1dWiMxWScxXVU04PjD094qc8ZiKyc1 3UJMervEokrBN16dXUe0LDbgusVxuFTEpspYblkpsTIYLC5j0tJE3RwnsR/fACx22Uy3W1By 3P/xtj/cf5u83u+enLo7nAAcgY/u6hCip0SUErVbmmKj/bhDh8RJB8BtMRO2Hat0CNKejUsG m2DyWpe0/PsmRR4zmE/4kgq2ABwMs9EJtH/fSttaleJBfWqz12VRkKJlzM1zEN9xYaR9u+TR /e3XNzJCtxhb4D77AjcMxQGZYYwrJw1MB0NitrFONF5ItETVb6j6+aDUN+GNi6vb247AviZh nrp9ANOkyWqykRaBfR92saIWP2bGGAevXcZa3BXhK9/E9ubT8IQ0cjZfnMNeX4bm+rEQ/GNo knpnksfj818YtArqjVJiSHLrL12DdeGWq8AcIkkzfs5K6DagpQnsjbloujC92z96X5idTkjQ ogCTgTt2JwBMpVGAGIytEm4OcQeDgkuWbY3N37VNtjVNlqPN9RbDgj2vHiC1roDoN6MFx8U2 TwsSm/R1owkCPSuYMw3xqHF/oLeMUscbXhbFEu6IdhmDLQfDavIj+/u0P7w+/go3RicCHGtm Pu/u9z9Zkcu+SAcstA34ayPGG5N2DQJC0MXJJGgFjG/EHlKguwOez1aQsnQqDBAL6xoYgy0Q NEFUI+vsux3xlJQSbd4O50zdf8tj1QbCXWYevazrDIy1pTYfQoVsepTSHrcD4ex6sM5pw860 +k/tvxx3k88to43iswrd8fjWfGN5eQYUlX1a89O3wx+TrJQv9MyhNZnQoAh7qFFb0PXvm+SN pXA99dvVaUgfQykB5mI+za3S1Ug9+jJo/2i8LKmoVRMzcJsyeuZxiaaIKqWcmgIEJiQfdKXI iPeppwhW9dgITel/ITwzUCMzUBWhSyrlkQfuuhnMjJdZqMpf44IBLLOeFYM7L/WgbmyrC2A0 HMCMZVWCtMX+OnxcYAfHuacj+GkR0mmGI0WuQKs7BrpeXEBYaCXBHYdTpVbFmQ2LlsH6U40D GazwLROGK7T4F3l652tTJCQl8wV5BFQvV14wtsM0yfyxyWgaaUcGe3AT7EoITyvh74imYDz/ JTwsw1jimVR1xrFkWLClp988but/j58u7lSbGSWgYh9Ulsp/GbjeZJh2d7NyNibxg6kNvBZF FXi0s26LMu12CMwyu5K2o3UyjB0ULVcseLs11gQWO7u9bZJgb6bGJY3qJK3kyquz3VjuLRfq Dl+U6EeqeJEzOsKZOrorifTLsvUc9Cyr3LwMWJF8aYlG37IGu50s7TOFMcaKpPyTF5+ATt3p oinRZCE9aGkXauqZ5rAmDMz2Ab/+UZbOy+YsfNcarHltaiL8NRZF0lBtfhPtApPMfklrvjEo O39/6VeY9sj3s3mDfB4iZ23fLNjvWWzXMeIDfV+MDZtd2O16Z7BFLzp0yAM0VMsVhn5Hp0cF VbNpzJPxGRImR5jWYUI920i417PzBJEdShoQYKmmJvHnBmINf8AB0sWcozOvIwlI53W3FYLd v3vYf90fHoIBPxM6d2vRdXS9hXXbsjYVoIGt+KUCI6otgekzUArOF4XOML/A0mTk5bg+z33c rMrhZC5zfNpCKRsefL8M1UAFU0GE8+Chz47ocuFVUaw9ZJwRfQnzZVVUgQpfCevUwSbz/HdI oJH4CMLkvAIWRgI3BU/u2kc0QwK0vM2FF5y5edcvlahA2WxXXDH3paAmhesMJCOPTfF1w0m4 D31mNI8ObJAT89SQ1RZcf0bMgyQPpxNKOFoIrl8+mRm4qZl+OY7knMHa7zKcBdCqMckS8yw+ jOS5zoURNWS4ERLzxpFmJZaI+1Np5LHhOeb1fJ6ZdubXDkZwcVENQ7M6q9aUzmO03rwgb39G IcCRJo8GHkvqvF4cg1stcR9S2EYPqeHNDWznsCg10uig9Wtoa9SRtl4jYFwxMFTwmGGlAB7F 9dCOGXnI7FH98yPm9rjnmFdlTQ4zsIVGGjC/ucmIL6pZEbfJWUbxnYfl1eqcktSJcHxDhXIa ONQa1eaZQkM7LzK8Dlxc/5Qj0Np6hjHWiU3SJzxpio8OMIsDnkBsNS7wRzr4sskR2JUupp8G b8r5B9iLecRNPje0aGS1ERbLOAvAet2oQMmqNi8rtre2zI2i/OZNHjDUPIQSLNHC5T2Gs3Lt sOkXc9z6wrwA6rIQS1ps3v26e90/TH43xVlfjy+fH5vUQh+dArJm5ucekGky86aIubY1ur74 MxhgSVJ68/2X//zne2ea+HszhsYxTC1wKP4H7MAXfvalqt/ISXwR1v84TXME7I4bNpocO8ae At03NJUO+Y02Nuig4Qx0jaYM1+80/UhBux+gGXnA11LysI/YoFGksRo/SAPik8FkQQ3E9Rqf E46uWJofCkjB+rANhMh9OY+vcCWVXEeLmPNTAM373Egug0AnotI/5sXgGVd3NqNbJBZAhFnc UoDVUSiVerUYDlmbzNZXWDgCjmTbKOwF9e/hwZeGq57lQQfITAjLaxLpLwVZX5RkWIZa7o6n RzR7J+rb171bU9nmovFtKeaPgpIq40JaaWs/ouuD9VYOMvI4xeyjWxLewPCys/1pBJddoJQX E3n/2/7h7ckJa/LC1CLlRWH/UE4DjcFKTJ3XUi2GJk4qBD7rlu+DHwCw3oSatFzbbSi30JB4 /bdgnOaZVs3gN9/ff/6j013AmvH1WMj1XeQGt1tElHwMjNn/tAtY4twJtBOZWzWGVc5zU8xU gnZFVTRe9GWKY2qRWZXMWn2axiBhxdaJ7JkniSNILUEjOPNiCPSw/t2qWJPpaoeeZBzjNxbb cNMBvL9EzZOfNkvRU/QVIial8vf+/u20w2wK/hTbRL9JPlkCHPE8ybC0yq5xaI2TIQo+fI9U vztE16L/9Q+ws0yNQ0gBN91KKnjpVJ41iIzL0DsiHKZxYPTKsv3zy/GbVRQRKKM5V6XXl/hl JK9ICNODdH1kV0AR+omCZpBS/5qWCg0DdrZgtgnWozbwV9b9oMkZiuGgRs3pis//UfZlTW7j yLp/pWIebsxEnL4tUvuJ6AeIiwSLWxGUxPILo9quGVdM2eWoqj6n5/76iwS4AGAmpe4Ity3k h4VYE4lcUsdNCrTHdLzTF5pIbrCo9P4IGqgLJ9MONCatvVQn6ImB8ZhOGuJxrDg8yOUZhmVT IfbW/S5giD+E0f/dzFK9lPJMlfTbYrZdWSuj3wcoWfUofVA9vcibtVAOKFwzt565mLq/YFTJ 6lzYg3VEorBUu1S4oU5171X6ndYKlDfKTKWix0YsL34VOMxBNeKYVVLKJsT0PRUVwQMVtIrF b2vjba/Ic5zd+7w74afcZ0H6OugEPMqEGsT9kZ7z5jkXlaUtJlA+U9CatJwIIN39F6kzLhn4 cusu1wPTohWulTcttPS9PM13koM6pKwk7YBhLy+qSN9pzU0oM9WYwDmNbF1rhaq2v+zpAyxE QFtltO/J9XiMHKVeSGlCzrBPlCdsbaLh9wg7TOEEG/06Li2dPvitZE9oGYqK6kjYEHHagSCP 22yojdGbzVQhIAIVFQ/wcYLOPUYYn8utQeCFPgFab3vDLCp6tlU9B6GvaxJUmOqx+ncTHoJx 4i7Pq8KpAdJLVuLKlmp6FHyKuFfv6ukJs6LTiKY6ZfLqaz4BwBerL0ILFg9wIORHTlhu6GLP FW4dCdQ4P03RhkbhFcDwNAx3p6VokcC7hOumwclFjPrQGWainm5w1Op92fai4SB0ARR5F0Vu XlhwTlIVFF2y3fhTWNALVCFKdrmCAKqcEyAXxFcX1C7/uZ+6lfWY4LQzJXLdgd3R5ZXij9+f v/zNLj0Nl851v59555U9E8+rdnEBixXjXwUg7XgKVnsTEiIL+PrV1MRZTc6cFTJ17DakvFjR VJ4wYtat0Bn2nYQgc3TlzrHvbuMHuurP1lHXSLPIbrOzik2S4NVopGRasyqx+aLIWShZfMWz Vg9FZO90kqy/a6J7Oz5cPSsQe4MC0puXbma0XzXJ5Vp9CiZPcdzEX3aqehyhiOAxGl4GCC4A VmJRFeBzWggeW6KhLrfknZX0Vh5kaYFzKRLqvjr0Sf36NRh6bY0/5Preudl+ewLWQt4VP57e Rq64RyUPTMmIFLOUSyZN1+R8VQuBruOZehnDGbQxVF2Mb8QmOb77jZG5iLE+BWdsWaZ4w2GV yVTlsVMrkxszvyXIMsPojFdsFNi48wFHgVQQY7csEChrmbZiFnHsWswiw7ySq+R6S/oJeB2q 1gPV6kqrjDZhYLI+JkUEFUGRx568u0bkxzDQDsf9YFq4uLrhKw5zf34dxUtiWzBBck7seA4e Kq9jRXZLFxfFLZ8gGOE22UZRnKM1/FN9VnUrCR/zjFXW+pG/lUTF3JHaZGJuDKRhCmDUduqY jRsfEqNtQPvu75U3aiUve7/78vr99+cfT1/vvr+C2NcSWZuZJ5ayiYK+dJFWfR+Pb/96+qCr qVi5B44XHNBf+Z4Oq1Thwe/Z9+kyu9Pn+ld0GZCPmcwQioC8n4zAB/I0HUP/Uivgxq+8X96c I0HZXhSZ7691M80DDFC9WCaLkWkpu703s/j6SWiibzljBzzcvSkNdhQfaR29mzPI4m/Hym2g vn0WyztISjwlEnDJLsMjdUEu4u+PH1++mVa2zk5RgQu9MCwV50uNs4btCvyeg0DHTqwn0clJ VLesgRYuWR3JQ9wOz7LdQ0VLBbAMkyw0mgFio/yVDLesvQHdMX2TpRak+MKFwoF2MzY6/6XR vG1n1dgowC95GJS4AiNQUP78S+NxiJLi9vl3y2mgkRMXcxRdgrryrfDEpzggBBtle8LRO4b+ K303cQ8dQ285GlusulTn5c3tyOIbrm092rlhTULhofVW8IRwGEMfHgR5OUPgxwq26Vvh96e8 Ii4fY/DNx2YLj1iC+6JDwcFf2K+BZ74ZC5Fnbi+5ouTyBFjJ7W7PUFKqMAj61qO+RUse8Vbs ae4TsiPQIqZIZ6stWsWk+O8bZC8xyFZLpmRTC0f+oIdHUai7muaQJiEhqKFM0EHK4TxF2MS2 ZUNiGcGb6jg9Y0RiyoTkQsG6S9PNJxIJ4UV/YzP7NIs7BouQ7RoQ6iQ0MWWhx/oqsKowlUGN 6AVsVmrPDEPHjD+jJYuHbMTQWjjrZm1lHXqQLH3iGuE0csyxO52Q7RO6npbdJKQMFnR6VDo2 vKKEtWquscsEVUTBCTTwJiByao9lBu0C/Z/V1BLFlyL+FGAtRRLSLsUVvtaGZbUaySdHie1a Gyfaa21lrrUVvdhWN6w2AxOd+ArfUCwYbGzXUXAJu44i2EYLAx+sNZWuY9MbPvPKjmEiqZPB wIhyskpUWGJDxpvPylrY493HpE9uPytq5a+mV+GKWoY2wtnZzGZRW5uJyQpURGYentYDY/cg EjfRbuJZaXflRCDvecAMUHxWGRLazJzwKs0qnBV0byhtsqiKoSv3cnsbfqXmj/apxvnd8H0q Gw+ao5ZhR0s9Jyxrp9nY7kM9MwvmvP5AEtJMVdJm5nuGs5QhrdmfS0MmbBBSTehrCOUhEmGj nySBOeTyJ864sYol+L2p9pd4x7OCcJ19yCmL01WSXwpGHHdRFMHHLQkeDNYmrSUcYG66w0yA Q4kc4sBaSpdyMjGleI0WlhdRdtbOblH6WZ9zJGOtntdIPYS0IFQ7dDQuvMqDIG+HrVveiSte k8xh/wAG3kG1mPuyMvZL+NWINHRSqlPmyIaaLECDH5ZmzLsyViEZTbWQusCiualH4ZLjvtoN jBbbEwLqpoR4guKhscNB7e7NH0XcfOKOplmcQNxZFXvYVja7+3h6/3CsZlRTj5UT3nIYLJbK jZr6EtSP6s7Y+HcQpygK7RkrvywGmSS+Q8scWYRtg5Jy4GFh7foyidjoQfKPF5JEdgQ+mYQZ 1pp0RGlSezV8+ePp4/X149vd16f/ef7yNHY8tauUmlxid0mQWr/LyqYfAr6rTmLnfmqbrF33 aVMyop865M60qDYJZZU4faBIwhlqi3xiZeW2E9Jk95WW9yyDdFiMq1GELD9yXNhigHYBIcQ0 MKw6zDHBsAFJkG9VhPmFl7icwACp8ZuuQHczlrkk7joG5D642g9sv6rra6C0PE/VBSF+ZvOp UnYF82aTgFhOnQn6+UCEUthNt240hFbG6gifh5/0klGtS4rLiptjgHlBhUFPLK2XIN7Dce1Z h0KikpR7J1C3wnfANiMcRlGSg7umCyszyUmhGtYdunVepEL7gdZptA9349YoC5POFBMgygsA guuU9ZyzaCCTKt8dJChDZsT7GpdxiWqMJUtZ0HWck6I0o0vTxrcjlAFYAIiqNM9Rk9obC9yC +u1v359/vH+8Pb003z4M9cQemkY2H+LS3eOgJyDdhpYuOgV0Spppl6gcb041SFRMvcgot8rK AfRsKOvCZSrGp8RHnhiniP7dfZydyLPiZI1ym74v0M0fOIRtYbMY22Iwt7NYCUmoCVaiJU+Y JzCOPzIEUQGPLPjWk8VE6B7BJHtKSoEbHuM0TJ+w48HBxUxrvNFdx8pcNk+H0bTvSNGZUKhJ 2YNa2S3CMP1iPMnPI8cD0cDktfFAFKuBulVk6c4wnNeu3tihD62h3Jo8f2nz3uWu4cFJR6LU z3uGBZ+Z3BRyu/7tb7++//7849dvrx8/X/74V29rKL+pSgtTl61LadI2WHubLmd5FrLE8oRQ lLqi3pOcCtjdNb73Tffy+vjVdHIXX8Ze+2q5IAdndaa/6B6tPWuMnzK7lcaU/+GzabjW9XQC HDZOc1KNWy2sfe26F7/2aUB0LgnZhQbAcdQWIw+FNCdcwSoYUxFSW7Dy7IJJFx5Ec3iQfXDm wnQ/0QdYBPcRkuFU+Y3xyyGio7m5RHvLgE3/brgZa71NE6YLlT4tHSfa7ra6EkvD2wr4mlF+ 9EOIqx7bd2Mgxip6knJVg61ocFWjTKjapfXPxz9ePlQImed//fH6x/vdd22mKOfd49378/97 +m/D6Q/UDR7PU6Uu8dvcH1EEhJHUVMdKqyeDHZKc9Ix4yLaL4vjWaoPQA0JZd4EPFlAF+W3j jrL28JHLq2a+f/jNiHenLzbmjaZ1YLvncBMpDb5lCEqSFMagK8+k0Y4bkUkEh60ZXHVbU0ic suUM/P/5o/SaN6XYWZZ4enOTvzJKG0lD9qi/wy5YYBeA1qqwCxnYeqwzq42F7GE19fGR0M3J 8TW+zwTq0qCyXTdUoVq/BOtRAcMYgpGRMpunUYYzgQkUi8UYYdDzWJPdFrJyPc7neAr4+fj2 rs8oRTi9gxd0rYypoilXb48/3l/U88td8vgf6ziDOnbJUW6IZohqlagNyIchIe5YGUXgJKWM Q7I4IeIQZzVESmZSPZgXdPe7dp0WsXeLANbLzNX5Un1asvTXMk9/jV8e37/dffn2/BOLeqWm Q4xfzoD2KQqjgDohAAAb745lR8mAhtWh8ewhcaj+JHVhU2WzGu4hab474+Sn0iuCiFCtZupO OOoU2gD98edPw1k4mNbr/nv8Ije8cfflsGfV8DWFe7+zgEpe0JzBzRO+C6iRTVjlfI+qUDy9 /PMXOH4eleayhI5FS3ZBabBcemQ9EFc7Thh+BYKx8ZfFZub2dBocCn9+9Jf4w6aat6Lyl/Sc F8nUaBWHKar8M0VW69+HnnE7L3x+//cv+Y9fAhjFEZts90se7HHrALVisyhjqHANqEBqIts1 tpkOjrMnspLZdgE1SgoSRuDUD82tSY0TyZJAhRVahnv7GyMkn5DjIv2hCi6OeQZ+WKdxAYup nUbRU1aeI1ts19Pgf5KBmK4AuBR3CMeoLmzkNAqOfE7cMnuQ4lGmIcAfLWeLqe92Q1EPHVLh ArIeoHgdejmCs2anO9SSSIowLO/+j/7bvyuCtON4if1GZ8A/QdViW9nr9bzx/vzT3Q7H+dSt eKGM7Vznr4DoIqHen1gof+MnMbjjlsN1DaNjTk6M6WmHLaWwMvhaFVVmeGiLgaWsCPeckip3 4aqy3CvKRO0IASUd890nKyF8yFjKrQYoTXRLkinTrPuR/J2Zlsrydxqal6o8Vn7q5XIL7YD2 mgDvjVYaCCgS9mDXcLK9UEi2xdVE7SimDb0yoG9FYkqK1jtHKN5eP16/vL6YPhGywvYR33rV suTuraOt7JQk8AMXKbcg8KQtBMxOXsx9Srzegk94PK2OnFjeo8xU5exEOb77bTMuVnugTRyn TiNYWO7Qh57uc3eh9VLUJovjtDsyUW8m6dQZHIQQd6E4VkF4JnymV0zNkyaqsBMNYsppzl67 NonsM9EggydF/JFLSx9bh8B91iFVuX2b/rzddPeUwp4T+iH1nEbj4LuQqh39fh+NjSRZrwoA 1brajFIwBwixNSmaVpEZ87PP71+M6/owGuHSX9ZNWOT4LTk8pekD7Bq4GPXAsopgrsUefLYH uJJDxeNUdQp+BQvEdu6LxQxnXeVGn+TiBE8kIJgKCJHYoWh4gnMtOjZOzjOQzuKztAjFdjPz GeWeQiT+djbDuURN9PEwmPK6IeQB1lQStFxOY3YHb72ehqiGbomXuUMarOZLXBEmFN5qg5Pg DVgrW8jzkm0XG7wJcDDJ3pfsaTFvhSGYCLE0g2T3whPwcRZbwhMzhDMdNyXw3dNDu0KLCrjl IYHSNUVuOz7GXA3UpbkS2+Sxl3gXkbJ6tVnjikMtZDsPavyq1APqejGJkFfjZrM9FJHAx7mF RZE3m+ELLtitvdloxbVRYv58fL/j8E72B7hae797//b4Ju+XHyCAge68e5H3zbuvcgt5/gn/ NLsXIgXhI9XN0ISLOUh68XUGumUMBP7F2KskRAZ6uZNsjeRB355eHj9ko4YRdiAgjwy7CDf6 uhzwGEk+ywN1nDoUdHh9/yCJwePbV6waEv/68+0VJAavb3fiQ36B6dPu70Eu0n8Yt9C+fX1x g3Qwyi73+HYZBQficgaeOMpK1O7lD0E4j/ftl8ljspUwvLvnmnKdmubW6VwyHqpAfZi8EDIY YlvIHpoRYnSBfXw6i8MHEjhCaBA9G9XKtnl3H//5+XT3dzlV//1fdx+PP5/+6y4If5Fr4x+G jLpjcaymB4dSpxLbTkvOBaUI1JWKi3X64onXzY5MaOKpDpD/hocxQlyrIEm+31MvzQogAtAH hKcfvB+rbs1bXILOCvFe3LG1IXFwDcHV/6emSCMgSBAAnKkB6Qnfyb8QguQqkVQV98OJbqqJ ZTHdiCS/JKC1YebUba8oJWBFVZL3Ubw2Zxzr/W6u8dOgxTXQLqv9Ccwu8ieI7YSdX5pa/qfW Ll3ToRC4AEFRZRnbmrgldQA5HjSdBaycqJ2xYLp5jAfryQYAYHsFsF3U2OOY/n6up5Qzybrk 1uewXWR6nvzm9HxKJ8ZW+fWRM2kCAY9t+Haj6JGs3iekzJKxUZt1Fl0o7c4eM8EF9ZjpLy2q +TWAPwkQKSur4h4Tuyj6KRaHwLroGsmE6NNCtLI+rIQmvARy1aPyQBeqRHTfR8kwTdCid8R5 0K45eV/FNxvdaw8lrvXSUfEOhSu03q3b+/VEt2eceNTSp3c997beRH5OvG1pYgavV5N0JvnZ idZV0cSCFg/pch5s5M6GX3LaBk6sn3t5mvKg8Xzi/tOC2LVdOgzm2+WfE+sYGrpd44y7QlzC tbed+FZabUpzTumV7bNINzPisq3oWnQyxXbo01KutDQgNHd1QyfYm1yEek6wChUG66g3ID7q V+ugdGMe/wA5R+Uuh4AhZWnqrQCplRQOlUPi5yIPMVGSIhrhMIPXHx9vry/gJ/7uf58/vkn8 j19EHN/9ePyQLPvdcxfM1GCUVaUH0ym9SkrzHUSZSIq0NXQf4j30WcxPHe5MQIA3DKS9ihZE ZzbK4DwSWKSznD+jDPSjhSKP3hRMYg2qI0a3Q5qKCDzqeF1VJJkxQh1PoeS8CLyVTywBPaqS g1ClUaMoeOIv7KkgB64bWBjDL+7gfvnj/eP1+528plgDO4gsQsnwKirVrHtBqXvoNtWYUAIo uzQcVI8Ai7dQwSyBHsxXzid6Sh5nNDHFjWsULZuggfwB93KtyKUcndHQC07oUmgicXQo4hk3 QFTEU0LsxWpfoHYnTawiIcYSkuL27lf7EyNaoIkpvhFrYlkRJ74mV3JkJ+nFZrXGx14BgjRc LaboD3T8FQWQd3N8Oiuq5FjmK1yS1dOnmgf02sfZ0QGAC10VnVcb37tGn2jAp5QHJeErVQHa t2cakEUVKVTWAJ59YoRXCQ0Qm/XCw0WKCpAnIbnCNUAyjtSupA/QMPBn/tRIwM4m66EBYPhF 3U40gNCHUkRKzqGJ8OBYgnPUieLl5rEi+LJiav9QxCoXB76b6KCq5HFCcJfF1D6iiBee7XLk Pb3g+S+vP17+4+4low1ELdMZKbXTM3F6DuhZNNFBMEmQ7ZpgsHSWGOVH9HB/lrz6bPTJnVL5 Px9fXn5//PLvu1/vXp7+9fgFVSEoOvYM5zoksdVIpb9q6q6Kz+be5yHxGBWfhOM9WYt4oyi6 8+bbxd3f4+e3p4v88w/s+SHmZUQaknXEJssFZi6v3c3CC5ehAscNRjJrW249dMupR8kA1XMe SonuVbxe2jkT+eqovPgxjBlNWQCm3Jb9z7lihW3ZDxC05HNNUWQ5IiKbI/8lctTAE0x7BwMI uyGS1pxVf6o4wWj+c1QdDPt1/aCc2dEYsiSlwlyXru26nihg2TK8tXy1XwPC5/ePt+ff/4C3 DyF5ji/f7pgRd3ZsVBpBNPbMDTBxlvf8vGzmjgrROS+pS3T1UBzyHLPhNcpjISuqyFJ2a5Pg SaeMnYmPFLCP7NkbVd7cowIZdJkSFpSSVwsOFk8J6uWoCrWVNZG7c2abAsibzoI3keN8Dctc RSrszfCxQUSJSNqXrQplis1CU/bZLjTKWD+K1/LaUevTcON5nqtZMeyQMP9s1mPIKe9spgkH 1NLd4qy1rhKbM1aK2TK5nWTyKo/OQlZaMwY6vinz4OiqBiI5oVtyS/uLVQnl6SHBRRpAwAYF 0i0nEiy5NhFPZV7aV22V0mS7zcY+DceZd2XOQmc97ha4FGgXpNDtxNNTVuM9EFBzs+L7PMPZ ZCgM++rdXo6SocYEP1FuQJtMkM4GZflXJrXsF9Dms7olwyQNRp5W/c84JVmws38pBcLDRXk5 t5QQgYZLiK0Kzvxk8EPV4ZSBmZjs4aawdP1MyhnzPG8CdvsaL7M0CbpyiCRgVpTw+xOnvC90 RLwJ5ocdokTYppptUlPhy6cn4xOoJxOKCD35asu4CHJ7X+RXNugAIn9n1oLcRynPOLqfDlzR 1Y02tM84xfOckmu7VdiacA4VJT6udyhPoBCiOEyXF6UneSmxFkbkX2179Bn2VasjVUqTFQJ8 PMkjGMy+Gnd/GZcE4QchMqG1NkGjNk4JRg2Ixb3SKiXptdpKSMies8wROoxb1luXmQZn9fIQ +k27b/XlqSe72D3sDXIxWxC6iYdMOAqyh0xYP8D7UWynRBYvJlPm9q/mECR2DI0hFV0iimyX avaENdKHwrt2DB1O7BJxu4euLjS+8Zd1jTZAezQxZxv1iBO5N0Yz3Qyrut9ZP+QunpojLZPO 1hbMJSuD1ggEQuUQKGci9NBiRmSSBCoPEfgsTr0ZvgXwPT4hP6VXdoXBHKI70c72JE3hWsPM 30Vh2U8VNfNWG5JtFMc9Ktg9PlilwO+Jl6g8AOa5qv2Gkd6C+0+KQs6qiAqp06MSeUHMrQ0x TWq5eolbY1Iv6Xu+pIrLJDm+XGkPD0p76h/FZrPAeTMgEaZZmiRrxKWIR/FZljrS6cLbk4/2 /izwN59WxIrMgtpfSCql8JmtF/MrPLGqVUQpR3eH9KG0rZTkb29GmDfHEUuyK9VlrGorGyaS TsInmdjMN/6VLVH+Myq5fSkTPnE+nWt0ddjFlXmWp05omyvMQ2Z/k3pT+2vH9Wa+tYz3ssg/ Xp812VnyjRYLpcLEh/iRaGTMj1aLJR4N82nkaANXRtmeZ7YR/EHeiuXMRTv8IQIPCzG/IprQ r/dmofcJm1NKQvcJeVm6T2g38qBLQeajgov0LTyxBFydWW0M2Fqefg1ln9TRXa9HPRnUgIHj Ma6xZXp1qpSh1VPlara4skbAZ7ncoc1cG2++JZTjgFTl+AIqN95qe62yLNLKV8N6PBBMWsnO O3TrAQmDE0KtIwmWSg7c0kYXwBAQVZg5o+geLzJPWBnLP9aqp6zKZHoTw2y4Mqkll8vsbSnY +rO5dy2X3XVcbCm9Gi687ZWRF6kwBAEiDbaedQRHBQ9wrhNybj0brdIW13ZkkQdg6F6bPmLk lshM+zNIkFlEFOADUqmTycBXKVw8LJFum4apg4UXoIAu2H0uiImhMe0jxVCqTubF/Wa2qt3k NBJ55iZ20jA3XfZDXOzZKBlUNZDEja111n7ctRNAnDJ7Ly6Kh1Qud+rmuo8IWzDwX5kR5zDH 3FyZjXjI8kLY8bWh8+tkf1VOW0WHU2UdRjrlSi47B2+CQjKEjFBLqRLUv6VR3tk+ReXPppRX K7w4oIKTuAD3m2wUe+GfnRcHndJcltRFqwfMCUAchvgwySlIHETKIeuOuMHB/aPRL1fGtQMS tSeVgf1TaUEKing5YXDbQU4Zx0deI3i1Y6aP4q66Jj3VeOpQ8bhJLYLwemZhwA9RGbk19wJD u2DakB6oV2QTCiP3S/CRR9m4A0RftWi6eu+gPquVQjqf4yh0FYcH2z2ZSjDOXXGRKZa0Mgrh VX2/B29TB2uOawNFzu8gnXZoIWKct4C3C6fEgdY+Q9AAwWuX2JGqzWyuiJYBbZCCpjlZoKRv 1lP0VupPAgIesJBucCviJOmhnHdTxYcFXID8SXoVbDxvuoTFZpq+WhO9GvM6UuNlCWyCIpGr iSpRO02pL+yBhCSg7155M88LaExdEY1qRSjtWDuJ8nrqEODEbva1i1fX//bTjDR1BXen0UCo 6J7ur9IkIlOhnVlCAu6x7B2nrFl4t2kts01lajkTdwiBjyNbIarImxGKa/BKKg8THtDj3+rl kfSay82oBl9e3C/h/2RvyvE5is12u6S0owpC3x6X9YOhrPLnqLzoWScbkAJW4Rs5EI/sgjOR QCyiPRMnMUyu1i3zxlvOsETfTgRBzaau7UT5J4sqOw0az+rNxlvXFGHbeOsNG1ODMFCPKubU MWhNhDpFMBFZkGKZtUC6Q5D915WS7vhURWG6Xc08rB5Rbtco92IANrPZ+Mthqq+Xbvd2lK2m jKrbJyt/hj1jdoAM9q8NUh/sjbtxchqI9WY+w+oqIQi7sn67MgTitBNKAgNRMdAxbiFuLeAr KF2uCD1Ghcj8NXqtU67Ho+TIDRG+ylCmchmfancVRYXcbv3NBndIoZZS4OO31u47PrNTeRLo TK03/tybkbLvDndkSUqo/HWQe7nRXi7EQxiADgJn/boC5DG39GpcJgwYXhymmil4VJZKAZWE nBNKtNv3x2Hro4viogUPxq9BByh1BEEyZeN7mFCCVYchuDpWVmWp9ACcflGQ1CX+lqIopPqk pG7JfNtjcyB27YCVydYj/DHIrKsj4UuiXC6JaOMXLncFD+tvWZ43MyLT69+NeaFqk8D08LvV EEhlB/wJrCW7vvxdOuXLvcueEbe1lj45aD2AMJK9BNl8hZpi2lMltR9bVAJR53oVLGcjI3ek VFwph1CVWczH6qY9mx+kp4ondhiiIBX4FRZIsRbbOilt7PNdEJraNx1RhLbqRk8gffH3xQac CG4gEZMeywEQ7jBXH2ZHdtobCGn0QsyLi0/JLoDmU7RLstiucE15SZtvFyTtwmPsBuw2sxTc aikcqYRLTskKpYQnmmK5aHc9nFxykS4xQyCzOYjXO3njjsqKsJvtiPJCzzNwdo3de6AbIotd aZNGG4RDhumDZaPmXXpJNthzrvWF7auvJTSRS3fm4aGYgfbnbIpGPOUCzZ+iYbJJs6UlczV7 ysqvyXNz4s1Ese+EHYOmrbFLWJXAaRRaLI2Cb31C8aClikkqEcgCqGt/ziaphGKF/ogNEea9 rXeCKhmJiXrhe/EJANS6rq+OpLCkvvJns0XVj81Mwg6DcfFolgkXLl8Szyce/4FEnH2edaW7 JK1TMSMrpLhPhw4RDoyhDK5CeHXPHcohLL5nf34I2eh++zmUX45/BpA8r8Q0JsxilXguymyV vfsq00cUOECkD6ohmMiF8vhp34cujsheO4768fj7y9Pd5Rk8o/+9jYUFnn9fdfyDf9x9vEr0 093Htw6FyCYv6GOAeh1WJhykL7uWjPiyG6QfaQ1q2ygtPn3ilTg1xMGjSxfoBRn6xQgqMRyO IiRCdJzHHon5j59/fJAugrpYIuZPJ+qITotjcC9ph93RFAhvBo4cTYsRRRAFK0V0dGLcWpCU VSWvjzrwQO9j/OXxx9fBmtcaxTZbfhIRFWVOQz7lD3iAOU2Ozo7vyS7ZYYqNLqTiduicx+hh l8v9fuidLkXetay3fiO9WC6JO7MDwh7fB0h13FmztqfcV96MuAwZGN8jdI16TNiGESxXG5xd 65HJ8Yj6uuwB8LqCthUIai4RYRV7YBWw1cLDTVhN0GbhXelbPfuufFC6mRM3Qwszv4KRu9J6 vtxeAQX4JjEAilJu2tOYLLpUBBs7dA/pbbiHQORJOI+utKjVy7gCqvILuzBcNDygTtmRcOpp Yha8SUpGmK4OzZd7Cq7ePnRC6jdVfgoOMmUaWVdXZjU8AjS2AvpAY4XnETpNPWiHuoowdjvj wQJ+NoXwkaSGJWbAyyF99xBiyaCAJf8uCowoHjJWgNR/ktiI1Iq9MUBaS3WMBJfXo3Ijad0k enqUANNBWCAbjYjgnsqJp9ihNjXIHA2A1oPiPADWXxmsjStK3VdxRRJRyQmlCw1gRZFEqvoJ kBz7JeVbRiOCB1YQzsEVHbqL9N+oIWchWW02VQj97q2/tR/w6YoGHHXR7A9oIWGEprWCqEDQ aNh7TYZ+FUEZRabJ6JAIcplCXrC5rbhoIlgo1hvCsaeNW2/W69tg+B5vwwibLxNTepJzdvsa A4JMrUlrS/6PAppqfsMnnOQpzOuA41bGJnR38r0Z4cphhPOvdwu8WeZZ1PAg28yJs5vCL2c4 Y2LhHzZBle49wuTehlaVKGhV8zF2cRsYnMDLaXkVd2BpIQ6UUbqJjKIKl6FboD1LGGFEPIJN bWsWug7mM0LuZ+LaG9BV3D7PQ4Ids7qGh1FEPFQbMHlv9qlwqBaOVGsyUWIlHtYrnPOyvuGU fb5hzI5V7Hv+9dUYUeb1Nuj6fLow0Di5kD7ExlhqlzeRkqn1vM0NRUrGdnnLVElT4XmEP3IT FiUxE03KCRbPwtLHrzUN0np1SppKXP9qnkU1cVRaFR/XHv72ap1RUaYiK14f5VBewqtlPbt+ Wql/l3x/uF6q+veFCEtntfO2M+ESVkq36pbZo/Qv8rTIBa+urxn1b15RvoMsqAjUHnZ9jCTS p/xxj3HXjxiNu76uy7QhfARbmw5PIka4RLFgNE9m4SrPJ7QBbFga39I4V0ORQJWL68teomIW RHPSpsIC15vV8oYhK8RqOSMcKJnAz1G18okrvoVTRjbXhzY/pC3LY5VpX+m4CMbSJ8k+eoTr rxagWDl5oaT3NA3cpcwjAhe0gq55PZOtrCrUnKEV7KWb7cJrikspr6nj1koyaFme+a503UG6 4rqUbRaTzdkXPn416cigzCtPfcL3jYEKoyAPJ2Gs4iryahXha6GX54lC3qo0cgpYV59w3rYT j16iMmWTZTxEzA027CCC1JtN1VJG+1MCwwC2ABVxI26/vy78WS0Pnqn6Tuqvqc8K4s2SuLS2 iEt6fcwApGbQ1LcdN7NlOw2vDX+ZV6x8ACvJa7MgrJP55GLjKfiMxtnWblCYywBbdHg9OO5C 6nGhlZKrQLywEOWdryRkZBoalmd/JYdODzER9WRArpY3I9cY0sIpvXc1l53NoEz5+O6jxOaH x7evKuAz/zW/6xzWt7nU8Wwpp0IC/J+IPKXpEB/7aJuSakIRgByLzJfwnRaYOdlKRnip1LVp /0BOwW7Nwod4QFPFlMGVMlixmwZosec0RgvQCciJ5of2LI3QgC3Bt8e3xy8fT2/jIMJVZWhQ n40HpEA79gIBXyYSpfpsxuWtOgCW1ohEbheG64ULih6Smx1XftQMJcWM19tNU1QPRq1aA4hM bMN7eSu7Q1nSZDqaQ0g5vs/yzzllxNzsBf7gqUJZS54NjS0vtwodxK0NJvr2/Pgy9h/WNk8F nwtMNwstYeMvZ2iiLL8oo0AeRqFyLmgNjonTcd7c/lCkGJRusLaboNG4WY2wQoqYtVoOmA1C VLMSp2SlsrMVvy0waikHlqfRFCSqYVuOQupzU5bJWZJTEZhNKBNFJDv2TBj+mlAV8ryN9IeW FUZVFFRkVC/rIwWmsmwidkHqb+ZLZlpZWUMqEmKkLlT7ysrfbNBQDAYotwLKuxTYRHIwNjkR oLRaLddrnNaF/yaHLa8nesX2kqkjw73++AVySrRadMrRH+Issi0BDiJZxgxVDHUx3ugbBpKx VNw6uvUNatcNGIkQ2uItXFupujVpSxhqPQ5W1Wi6XjhmxGeMPlpYHZWqVb0+Ih+csnpOhhMw IYTrbA2BNiWORMFp36ERyFajk4ctxdvgALIzNZnclls6tv21DkLHiRPT45NA41y0/STS8VQQ 6URxIggywv6nR3grLtZUiJZ2Zmue6VPF9u4+SECvwXhcr+rVxDprbYsKoYoafbZNnugByadN taMsaO5LksFPVlJc+5gATORZJvluvudBnlCe59seL0o04kE7nhATAP9mTaImahpUZdJpYtgk pel0GnMFKtop5JKbNpyGBpd2DlrjKjvNCmMLCbX5TNcmoPciVWKAvXu17lxH38WLlMsbTBYm ytjJTA3hj5IJ2OrMYHLHwI1fdHaCy1u5lYG01jMGCZVTuB0yRicJTribAuqFVcEhzHF1Cd0o uGLlMepV7CLvK1mYWyZZfWIDnI7k8fFItwOsZQaGLxlI6imkKbO9b9pUDXR1nqN1j6OPjCBt gJ1RujZbRgiO14WB0NptY1mqI5Yc1Q9ZbivizrcrXHYBL/ZgnTu6DbVxU74gl6KhWHZp1wLS FVUg/xSW2YJKIrygtzRaJNrSuR+M7SoQDGiYZ45vXJOenc45pTcEONp2A6hd6SSgJjx+AS0g giQB7VxB8JUyr3HBSN9L1Xz+ufAXtCDcBeI6uHLBt9tbn1OeH8mD3BNHMwKqGitU+oYRCDhK V52by2vXnlse8WSqUv2RPZfbyfAmwionTV4YtMaikajdJmjb/D9ePp5/vjz9KScmtCv49vwT Y1/VbCl3WlggC02SKCN8J7U10HohA0D+fxKRVMFiTjxcdZgiYNvlArNIsxF/Wvt4R+IZnE6T FcgRIOlhdGspaVIHRYKdyoA4REkRgdPhyhlTluzzHa+64YIh6sVjEOnUiZlaBHcihfRvEOl0 iEOAKTbr4rm3nBOmNB19RYRC7uhE1A5FT8P1kh6/1qs1SW8dfZJ0Tr0hKyIViQKIEGGBEELD nqaebOh6BRfL5ZbuNklfzYl3C03eruiZTwWgaGmOGogaUhVZgRhjEdiypmEP+s/7x9P3u9/l dGmz3v39u5w3L/+5e/r++9PXr09f735tUb/Ia+4XuTf8w9qxxkxBm9j7XjGTwUau2rnLsPXv TH5xAM5YCGctegkKvs8urIyc25BDxBxaOxCRsDPdELMswvwUYFEaoR7UFU0xFEu3CRNfx9Pa 2bvb27q9wVSrJWpZoojn1aKuazdPJrmykBNvOXD00Eq8agEFbCpsooLUzK1UJo172aDfnwo3 S8k5xtIr0nHu9I68KuvYa24pgqcVEVZCkQtCVKuID9n9STLxGHMG9E4s4SY1uyIdfU4ngCLK 6shN7GYELxSs4oTPKlWpdu9Dbyz6RkuTk2JLzqI2zJc2rPlT8rE/Hl9gF/lVnzePXx9/ftDn TMhzUDU9EUyWmhpMPeE0Cal/opqR7/IqPn3+3OTkhQm6goFe9RmXpCsAzx7QwNj5xzfNCrUf ZuyO9tbXqm5DcJHMsXmFvlShFETCU2e7NjCfa3+7Wo9manXCLJkVKdFe8Ww8JLZh4if2rt1p T2saDhDgN65AHJa2pQsn8mKBhK40aCkTlXnzV2nGC4Y8ztLHd5hRQ1hGw4TGqkfLg4iKWJmC z6X5ejZz28dqHflaOykl8o9OOCMRBONuenOP9ETrwQwX2Uj61Bmoe7I7ekgIyW0DEWRM1H2w o4f4xUYPDMiC5b+CwP7enhBblkqKNDqSLHKulyBRYV5adx5IKpKZ77v9Ko8T3KISiL2jRSdT OdUTysvqPd0V99ajg0wQ8wCOV7cSEXgbyQTOCNEfIORRJXiO72Et4DDVVPLM6ogNI1z/KQCp htVSVzRVnW6UvmUP8GeNiBMmCM/jJoxUYlGoqWMNANiRagFqsNCnqfSpqMgJIdyWtM+SM0iL Zu9OmH4PK95eP16/vL60m5n5FqsGiTsGkJCa5HkBRqOyewQhE4ZeSaKVXxNvHlA2wWWJwnTf Db+UfF/+rS74ljxVYKugKCyrCPlzvNHru2gh7r68PD/9+HjHJAqQMUg4+Ls+KhEk+ikGKgk5 oWRrgNxtsG/JvyBa3ePH69v4zlwVsp2vX/49FspIUuMtNxtZutxMhm6z05uwinrWSNsca5+J d2CPmkUVxDsED2dK1Kqi1IADDsP4+PHr12cwSZYslWrJ+/812qCFC0PlrQ/ajtDsy/xkGm/J dMsvpoEHQUR8ktnsF3woSf4Lr0IT+r7WPMCUxKNrl9IXw3XPeggVMLWlp0Hhz8Vsg03DFmLs 8g5FyE62LwI9pfaWM+zY6Otl9Xq98mdYZqVVNpG3YxhG7dFScvv1pKNlwm+lcuM+EnPCFLev MSrlDtbs9osAe5DoP6oNBe2WrxkkVmxmK5IaFJ43I6nztRkwpK9PpOj3KF/J+NlhYTbTGF7c L2be9Pzi47owxHqBNVS2f7MiLJxNzPYaBvzUUUFfjXLq9VRDVU0eMkKKsF1QhBX1adstbinV Ye4DsZhNteg+jP0aG3jFQ6mTBU4VrH6NEDuNmGyGCNNrgyAhm8XUkhxpK3SE9oGHSIfpvUI6 VjJmRRyM02ViU27Yer1g3hQ1QFZST90iO8dARMbfIE5mXU/WupmkbqepS3STxd+ge7Lyaz7u W/Bs3hzmTYnSljN5bUPHpKdN5DwgHdSRkJ7tSViRjtDHSvZ8pIWa2cV2US0sqsET5IiG6Su5 NMkZTW+VPVCeQDciRRJObw9mmdN724CsCSVT5INWmAwEwXnISjPIPtLdZnvm/TvY09fnx+rp 33c/n398+XhDlCcjLtlLeCgeb7TVEd1kq2OT5jkaJtXEFKzkyB6UVv7a87H01Rpb6Wm18dZz PH0zxxqo5U/eFHfl6IZZyc2+3iEztnehTJA2cs/HuAWVjdXILt2TpnLuPd9YjsBlWU7L24Qm ZqIqwPdkwlNe/bb0/A6Rxw5vpp464WFlXAov713JguaLSSmMKkw8iBiTnSliF1qin5DfX9/+ c/f98efPp693qlxEtqpyrhe1dlVP1zwWkTn0NCww3lHbRjBRSB6zfABZWV04PTQ865ip4YUV 1mVSpUZ8QtSuETXDL4KKGlfwF648aXYi+tijAeX0EB2SC3ZqKVq626zEuh6VmcoryAkXEmh6 EWxqVEylyTZfrtXxk9nKc9JaCbwzpSZ7U064ADXUUlTnCBvSvM1qVBEmhTHpxkFlJjvBBYa0 Roynx4QkRtMJUYwigixmgmoV2z+Bqsnw9OdPeVHHVtaUp6UWkE0NPPjuIfRSBwAak1fPAlBc MJ+4zFRXC7ylgXXVRBdWBQ/8jb2A/j9j19LcOK6r/0pWt2bqzlTrYVnyYhayJNvq6NWiLDvZ uDyJuydVSdyVpO858+8vQepBSQCVRdJp4hPfBAESBCS/2YRzvbEOV45rpgfMO1bXnEZtbqwR 4tk8K484JW7aE59ErFvCb1MLiiTKwqUbuXzCwLbM6TSAY7qZSnIGaxIaa9tu2xz5FsRmAm6r IAGBbXuEs0jZyJjlTMMaj3zZLgx70jy446Kbd8DsZoQp4cmvFaGkCw0Q52Ge+qp/b4kuI6ZG LVUSsXt/lUzy4zEI/qwoM1kVDBaDZLMkZHw2oZCEblpQ/lwVYFIF1sohJFoFh1QbQdV8Zx16 PVKp4xgtCknyeKo1kopah6L4e4wZldE6z8GfVKjaBMucUZrMke2LIrmb1kymaxxJFxAkBaD4 dG/2eD8MTmu/4oINYeLH+12TDVjGQcQa4PEG4aGjyf4UMsslFucA8olc8PnSQpJoy2WgGnsA 3kLYemCR2jaDJ6M5y7CSE/oo0/U3yx2c5YwIjXnipL4tOaxOez5qvMvHviS7T9rnw+SAAMDz Tpt9lJy2/p6wLmxLBhcirkH4CBiB8D5vey5mBYC0GJ6RtzJwO7cWkxSeS7hmaSEkr+vLEaOl L6cK7CXhYrbFyGdgwrX00VwsCRO8Fi2P49I1bk3bovhYL0wH3+QGmBU+KCrGcvQdBRiXsEtU MI43UxZvlL3Ai2rniJhqkpkv9J1aVquFo6+TMArhW26BKRFtbOv+gg0SWtuM3TAMjXxcdv7g Kh8aMSvKWF4ycP1gUxexPWTxGQgu5vaQFByLfQKD99AQg8/HIQY/2h9g7Nn6rCyCRfSYivfg PGbxKcxcfThmSb0GUjDEhc8QM9PPLOCiOCbedQh4HRiMjFW6r+FJqr6A6ljomxuypaVvSMjM 5cycip1bePWoxWxc0zMcwpJCwXjWhrDB6UCO7TrUi90GU7Eq2lew12lx28QxPeItt4KxjDmM uzTwkxsFoZ9T8uyE8AjWgnbxbmkSRsvdYKxTn4gIqkCKiHrp10DgnOVAhUzoUJWHM+4W8DUg NvYWwEWN0rRmpmASZ5FPyBodRmwO+vUmMMRupGD4Dqqf74CxiNvCAcbSN15g5uu8sIjLtSFG X2fhzm2G9wFmaRARPQYg4k53gFnqNyvArPSzR+js7kwnctByjkEJjD1b5+VyZrYKDOHsaID5 VMNmZmIaFPbcbl4FlLusfh8KyEe9zexJiccjPWBmr+OA2RxmZnlKeGBVAPrplKSE8qcA5ipJ OEBXAFg0kJ68GkSDU9Jn2EC6mqvZyrFs/TgLDCEcDzH6RhaB59oz/AYwC0KNajFZBcbuUZnG jHIg1kGDijMLfRcAxp2ZRBzjepQ1p4JZEYpkhylEkNmZLth4zgrv7iIdmV9Pvz6k471+hFDv c6ROgswstqtmdiGOmOEgHGH/dw4RzOSheWrViZFpZLqEj+IWE6XB+HwUw1jmPGZ5oEKjdJVO WbBw08+BZlawhK3tGbbPgp2znFk3AmPrdS9WVcydkVFYmi5ndnK+NZiWF3qzWiUzjZl5Jnxt W7P5uJ47o33xkfPmtI3MH9ksIoBhEFCFYluzWyrh+a4D7NJgRgao0sKcYUQCop/GAqLvUw5Z zMxzgMw0uT3X1oNif+kt9VpOXZnWjIxZVxDxUws5eLbr2notEDCeqdd+AbP6DMb6BEY/VAKi XyIckrieQ/qaUlFL6h1Kj+J8ZKfXpiUomkGJWwsVoX2r2q1TePw+OSZuQGLb9wfPrZokzrn8 KmaEM8IWFKVRyWsFHtyaK5FTGCX+3SllfxljcHtgN0rON1jxhzIWcQdOVRkXuiqE0cbfJ9Vp m9cQPbs4HWIWYTmqwI0fl9L7F9rj2Cfgug9iKVG+Z5FPmpu/JMkD0jVr+x1dKwSobScA4HnU iXwjpSLxZiHAUWP6cQyKPTaPpC1/Q0CrEUb1poy+YZjJNNtLJ4Z9qcIRJlJse2muLflbXsa6 crv72bYA1WdJ4Je6T4HMl4StVK4hNebdfXq/fuOsshfGEV4vvL1gvgbBsm304frten58uL4g H3WVbaznNfVtrpOVlvafnjI2LpSdX95/vf6gK9rY+o4+y+o4jP2b6vLj7ayrr7TdZHkgvsdm RPcSGBub3lS6ijjCT/wSP15TLz2RvhFV+vbr/My7F+tftbgKGK06A6UdoKbLO4vJyQxp/RJN U9rH+F0pHSHLD/5dvscuxDuM9Ml0ElfLMoJ5iOY1sagTHXE4fzz883j9MQ291m82+abSe1US h6ZaxCH0K3BcjxIb72HaDO7juITHuxioX4J8foAfY6WruwwEdc18fTHKKw09sHmGqqvPDurL 7MBamAYy+gil554HfenCIlwPgfMve64VHUPVO81KjxY5eHLRar8XS2n0fVuBzjq274eXIVFJ 7+vdxMjVdX/J2QDzGRSsdm2bXN77VJOaRa7Ju1vl2OCJ96T6WZbEqcuVObJT46VtGBFbE73W cv5R03iya9gemWsKsYcsutSjDC4x4RFFEP/59/n98thzi+D89jhgEuAcOphhAdXIF0hrdDWb OdwSo5kPWVjxdvl4erlcf33cbK+ci71ex+FJG1bItSx4XpjvhSiHqbC864ucsXg98mKIRk7n /e6jcCBMqivcSH3/9foArxjboKFTmWATTrYFSPODylstHCLI2KaN3rctqABYIhNmu4R+2JKJ 03759hVMPYm7IvG9X1mea9A+FASoWpl8YCkjNQmBUAybJDoGhL+GHrVLAk2DRfg3A7UrFuTW WnPa2ybqe17QhJHO5AtpuoPHFFMApfqCRQx2F95wmti5JnsZFsb3KspUXQxU6K8MGz9Ahc+B 7FiktwMFQoajayG4zt2SiTvXjowr9Q2ZCrIhyEmG+Q8CUiOrJoXP2KTfAtMGUyxdy1sMPZK7 eLng3LR5uDckOM5x8qJvV4HnFhYHeHOBzAsbOT7ryEnByYSXLqBRHrygQl/97P4UpHlIRR/k mFsuVBNFA9nzitQj7LN7Oj0NBH1JeBWWc/loLhwXu9lpyJNnxn26hx/U9gDi3KgDeAstwFsR AYc6OmHK09GJI+mejh8wCnq1pE60BTnKNpa5TvEVGt0Lp3645b1gMVpqHRdRKXwokhC+l+IB PIBYBBuHr2+6c4VsWBaYOih2M+xprCh1aqI+pFeOoSm2DJzK8TDbUUG99QxvUmLmVEv0BZio KHBpZL9m8cJdHvVbIUsd4vBYUG/vPL4yaBYKtx40MQCrU/rtsL8+OsbMVs2qtNBQwf8JF6nQ 6LoCMLG6htQqPvmpbXM+WbFAJ6wkhb3SrE4wJSWenTTFJKlmfvpJ6hPOYQu2NA3CiFNGvqKi XOrCYolKCYCGaUkAYZjQASyT5goA8CjjuLZjeNdpxIMG4RDXV0o1NN0PAI9w4NgBVkRHKgC9 DNKBdDs6B/EdjLj0qA7JwrA1C4EDlsZiZqUcEtNybT0mSW1Hw5mqwHa8Fd1h9dHTSFt+Gd/n ma/trBaj66tD6i00uz0n2yYdgVCBzBRiO8ZcLqsVEbYZeLIIBBe6pkfK9u2lGrChMhoo6uJA CQlB25LbeF/D44M2CBjlsq1HbOIjxCrJk8rfRngm4NR7L33Wsz3l2KeHw4G+OM//7Adc7NpS y69HgVLpEctcQYWOTYgpCijj/xTabukUpwkF1cOUHvdXFsEpRiDMllcZFz9zbMdxsCo075qR jKW4r81YQmrHNrCspVqAZx6zZGUT4vMAtbRcE9f4ehjsmMQF/wiECxUqyHOtudkjNom5qieS r30CtXTxfbFHgargDHdPDDPRFwZUb7mYq41AETZYQxT1tm2EIszzFBQX9YmDmR5UbPb3ERXD RYHVnmfM1l6gCJO9EWqFnYQomEOKzfrmwUwIQj5NH3ha64kTQbwnMSstfEO/HgHDhNsLLAMn 9dwlLkEpKC58G4S5RY/iooVjLonArwPY0qKs+oYwxyDCpY5hhJg5gpmfqptjLfA3jd3+M3m5 rmxlwvXaC5Y3ZtjSgIJWb+pO/UskYRQUI4lL7FCmDNrQpIM7u7g8ZVFHQruBQ7hGOA9ZzkG+ 1rMFsTy7m8X42d1MnFVpTFDMgVIuK9yuwznYMZ3NKZYPsCYYtfPrOIgGfV8GSixYKueR5YtK 0nq1l3XS1pcKPil7ZuTUePB1xWUsIhh3XNIR+iDjJqjKoLCK8B5eaoOCwKSLwtKviLABfBJU ZeSn98QRDjRkm5dFst/q2rrdc7GNolYV/5ToCT68rQtO6nMZBYPuSXGVSRJFZCSSSueKvs0W t4biSTWEH3lRrmNewKXRzcP17TJ1bCm/CvwUAmm1H/87pPLuS3KuI9YUAEJRVRCWTUX0qozA lH4owpAW40ihIxwLy0+ggLF+DoXy0oacZ1WZJ8nQqdaYdgpr7FqsjsMImFjds3KZVC8Srqnv 1xDwyld9BvVkdfnIVD+sNc/gJUYqXGmcgejgZ1vi2ZkEg78MdhslER6fW1QyjVKL/wwbwVvb 7lBdlpCWpsQqBGIWYRe54jP/yBvnFxVsW2qEVCCGd5kPNzmiTXhrBEzEVmGR8FbKlyRXdxPi Ghbg+yQiHNEK317IbaQYcc4HlNkr714vfz+cX6bBawEquzlI5AUMTmhDpdeDwDsA2rIi8NUu hsTUodxEi7pVtbEkHgyILBOPkL26Ak/riHCN00MCiC83hyliH5fje0xYBYw6o+5RfHqm+MD3 GIgLVcRzdfoagZ3N1zlUYhmGsw7wA/8ed8vLDHDWooDyLA7wnaUHpT4xsxVIuYKXx3M5ZQeP uGHqMXntEK/mBhjimc8Ic5rLqfADi7g6GoBcWzOvFRShHPYoFlGG6AomW/FaEc8ExrC5/uSy TnzERYsRaG7mwS+HUGvHqNkmChR+KDFG4ccNY9RsbwGKePw5RJnOfNd/W81XHjD4yekAZM8P YXVrEF4PBiDTJNxMqCjOgonjBAW1z7hIOrfoq6U5xxyrfBQyBMXsi5GsjqFqzyF05B5UB4ZN HIcpIM7xcGuVHnOMwQ31LZeL5zjofWBrdrTigE+AZoflmxDdpPvShmgMmt309hCtdW1hlkWc +8nyOaYaWFdJm+jX8/P1xw2ngEqCRHhsxLO65HS8+hKxCzlGX3wds5hQrSRGzOol3OqklCop gdvcNYaMXGnMl8enH08f5+fZRvl7g3qe1QzZ0bJNYlAkokqXxpBJimLC2RoIwY9QAhvaqcb7 G8hCDTyt9+E2wudsDwqJ6FEsFQ5fTmFZkzmsrcBqTL4KbXV9Nnrapcijf0A3/HYejM3v+pHh gj7lXU8Kv0Jd0OhTXF/oXGk2AUqx8y6AdVqFRKmqlaxMZ95aF/jVdQtrtRMRPDehnq80U72J GM6c4rS1MKc7U9zXItqOtTeVnm4CitzYX21ZMNXl2O5UR7qWtUa6m5DwmzKEfR12E55VUIyr 2pJqVpjTSnbvRMotLndKmDi/rqOM2M5gvIV3NWRKDOazbu7I1xnyYOLyeJOmwRcGtlxNJLGh tT5fZEAkV1lwJ+9DN3GZjsMkqS1b7zfW6CS2T2909Ek6n455wTBKmMojg3g8oWR+qXh81B3K CDX0/Prw9Px8fvu3j7n48euV//sHr+zr+xX+eLIe+P9+Pv1x8/3t+vpxeX18/32st8JRQ1mL iKGM6/vB9PimqvxgNz6HgJMxq6uS/+vx6cr5x8P1UdTg59uVMxKohAhd8vL0XzkQAlyGrIO2 afXT4+VKpEIO50EBQ/rldZganF8ub+emFxSmJoib5/P7P+NEmc/TC6/2/11eLq8fNxCisiOL 1n2RoIcrR/GmgXnyAMTC8kYMwDA5fXp/uPBxer1cIZrq5fnnGMHkaN38AjNvnuv79eH0IJsg R7bLSow72Az4yNQOjqHleYYM81VidttyOKt9psYoVxIhtmGRRDitCn3PWhkaonskiSanmiR1 5XkuTkwrrmIR2R6FlkbRuKpE1PUYLEhaGiwWzDPswfHn+wefgOe3x5vf3s8ffCifPi6/9+up G5wh9EFE7/nfGz5KfLZ8vD3BHjv5iLO2P5k+X4BUfGnP5hM0hSJkv2KcmnH++M+NzyfW08P5 9cvt9e1yfr2p+oy/BKLSYVUjecQs/ERFBGrYov/55KetjKag+Gp4/lcuqvcvRZJ0K4aLUE1I 4nYl33znS110Z8cFri8vfDXFvJS37+eHy81vUeYYlmX+joczFh9V1+vzOwRU4tlenq8/b14v /5lWdft2/vnP08P79Di83vpN8KthgjjH3BZ7cYbZkOTboF3OKlOZ4moq7ELRgfP+Pr+wVOLO 8/+c0hjWOxs4X4P0sOAs/djaxuMbK8CEz0DO+DfjIGEK6JbvmjKw9LBsSN+sW5JaR54M59fI 69WemPONXO5rpmEMa5Xkfnji6zJE9+FxO4MIO6MHYlWNemvLBUJ4j4JVGVpD0eouoCTYYzUb zg2fciOGrnwig6ZzvWg5rIIMIpuYy8XgiLyhZMdCsMSVh4vbExxh/w+4XZgQB5Vi4vgJnzgx 46IV7vYWQKXP93pc8wOyn4bboXTZPuy9+U2KBMG1aEWB3yEC5/enH7/ezvC4p2NOaXiTPP39 BpLM2/XXx9PrZdSTWb6vI3/fd2OTMJYK+yFrAWJ2/+Wgye179b/svk1DQJpigrNSoxNc7Ykw s+PVV28J73aCeJtiyg+QmjhCzfYTlFUw1FI7CF8YKaal9AhnYdviRnq0+CTV7UhY5ml8JK66 FRA8bJ4MfdTIYkJoW789Pf4Yj2bzNcKyWgpmIKfQd6FqDjOodRf0gv36+0/kkbUC3hL+NIZd jKvqCqbMK9LBgQJjgZ+ghgFikbWR53qjjla7klen8ZF3CuJDPQgznBAeRr2kUpQdY0yNsyxv v+ya0VGTOsQ1NEUZJI4keCv3IeELAfgIEZ9S8Kmtv7WIg2+gB3FZ7tnpW0SuVuGYINyP2a1M lj2l+xKaPWThIpnrxcNZKN4WDoHyuaHo0FHhPUWzfUkQMJkoC5EclmIcyZ6RIbCQFiIo/Yr/ dqTHbp0HO0JxB14XlxVES0HPIMTwsnTcMggjWkbbGAI7w03tNs4wU+IWKjpxFwaj4QDSYJYr iXznSHCC5WUpRNgkqIaWCt9CFD8aYi50GZho9jK6yqiPpJhIWVkDovBlNPFGuH7/+Xz+96bg eujzhCUKqPABoI8N32PHrGAC6FRJ5OM4ieH4Lk5WNvGQFsHGXEs0aXbcoDn/SrjUWxju6p64 Wu3RX8P4lFSGa6SR4RgaFtM0qTm7S8IV5S5e6SCO2y4cwqa1x+VlzMDF+u6UV/CEcDVXaf7b hwvD4FTXR9PYGPYim6266qivyvd8wQZlFNFyXfvVXRjv+VpMl56OAw+7hy0je0fcrKDopf3V OBJextAPPN+frUwU3+anhX2oNyZh6dFjhaVg8s00zNJkR+L2dIJnxsKuzCSax8dVCTe8J1a5 rrfCTmYEHy3jcBsNl77MoKMMlnKv2PZi1nBTbWUHPzu61PG92EHDjGk3knCfroUmG/r0CgSW cIoy2kpScLpo64OkAO4Bw+IIT4i30WntOUZtnza4NaIQuLm6U1SZvSBMVGRngbZyKpi31LAV rnrxn9ijPIpLTLwyiKvMlk65OhXMeRdnEf8dLG3eK6ZBRBMS0Jzt4rUvHza5RGwgBIhbTQgg X+KbgvIy3yBYtnT4iKPPBAZzJyym2qsf1q5jmpj62pBO/n70mhrD2fZwtqsZBOpjfzEHD6gc 1SSf/N16WiiKjC32SSQtmNXBRHnnSehXwyVZBsWWkoSEXy8+xmkw7HKRfhuXcdan92lQWxBz xjJUc0lGVuWesDgWHx/ZBrNZlBlL+91xEjVAVZzdhYQvKrFqE8rhN1CPmk0qAV5yR1Sz212j rBInUKdv+7i87VTrzdv55XLz96/v3y9vjXcnRU/drE9BGoJH934S8rQsr+LNnZqk6kntUZU4 uEKqBZnyn02cJOXgmqUhBHlxxz/3J4Q49bfRmgtCAwq7Y3heQEDzAoKaV19zXqu8jOJtxhk4 nzeYxWdbYq5GiN/AdfaGCxZReFIjXvJ09WikT4XoTs0BGxvVAKROqFg1kvanw/XP+e3xP+c3 NJwJdJlQCNFpw6lFivnE4AQu/gZJEI5qFdxxucmihEP4jG8ovMdw/VUMHqtIYrTBN11OygvY S0vCSBdGwwyFDw6K3jieI6hlXJO02CXEWxhAnwszZJma40LoqurOJOw7JJVsKi4cAuX/Gbuy 5sZxJP1XHPM0+9CxIilK1Gz0A8RDZJtX8dDhF4a7SlPjWJdda7tjpv79IhMkRYBI0C8+kB9x I5EA8mBHKuYCUBOy9/Kw4Csh0bNqTr+/EKpTnOYEEdkDx6IIikLP0IDccMGDbE3DBb2Qnkqs 0rNznNVkpj6f2AlhQwJ9FPNFuedrryN9BAEqq/2WbjV1twOTaZ91h3OzpnQXOcQQrRO6TBgB axYteOQSTwkRF7AbuCORF28WguRdZGTjIRCrTS8hcYglqTVfgIT6KnbZ1tKxmpExQofPLSIg USh/C4MhybKL09J1tOICpd0QJybEZLXtOYeI0JJESHN03NUX/cMQAODEbRMy8EB3CBka6E1Q 2Gv9tg/k4+Fgrx2b6QVeQAyX+ySAHwidzS46ECqqfUe4K+s+MvRVfPYcIoYYkPmp3LFt2aPY bCCl8fo1pw8RmX/MSWAXOhnhCSHzdmurO6VE9KQbkgWlR6nuKyjC48VkSmbOxiE0yRWUzrn5 BFJ6YM6tbRoZvG7y+dG1V1siSu8Ntg82FrECJy2v/LOfa61YQJNFEUkGAVtcgvcvyS/vr89c 3OhP3kLsmD/+8mNydkHfA0U6PchPk/nvtM3y+ndvpadXxan+3R6frKKKZeG+jSKICafmrCH2 Afu6suKSXiXFzNSh8fmCUgLVZ9+Lew27D+EFV9Ot/OQoeQmA/yE8UnvmW02uH/oJ5nhglu5c OoH4advY9nriLrRokfsP/yr/dODQsJKTSj+bJXRhGswTk9DfuZ6cHmQszA9wzJ/l8wefefOU 3pZHWPKMzQZqUdfwTq5p8VCBofbSZ3GFydrexBqSxlETUL/9dEUayMZmWHRV+F1Uy4lH8HhV h0iMarVSN2qSE0adWDfSVA0rFX5pwX2sbm7h13PNUEyGdURmysAUk6RmTcn0e6GoEBhadq21 camYCpBH2a61JvdiGBO1viywPMLnA5KbJDnTNRZkPFARcdQA1HoeFW6wJ1NRzXoyFccNyCci eASn7RuPML0Hqs9WFrFrIzlLFF+o8no5Xw7EtQB+Xa9tIn5uT6as4JDcnIkzGU4xVqXM0GMH DPtBklN2MX4usicCewzZ02SRPU3nzJeIjAFE4qwItNCPCyrUBScneZAc9Gz9Ria2/Bsg0FtA TXOgh23IgkaEeW05VIiwkU7PmyjzqBgmwIyDml6qQKTXKJcZra1h1EB7PfXOdM0HAF3EfVEd LNuil2tapPTop+fNerOmglTi1DlTjmqBnGc2ETZYMMZzTG9iVVI2XEij6VlI2CX11B1dMlIJ 1zSC6xOuoXCjS5hHnRwn9AX+jGfboqaXxvFMhmfk1EsW6ZxGx8FvqHwlKb7jPGRishhmKhMv y8QuBvSyClFrj5+OH8LfN2tJnFBFiLbeq9se2LjQ9+8DomWWYbkBwmcJ0xsjD4gNqE8aEXES UWYhuIv5AXn1N2RRFkRcnhs9NiOaIg9Jw5kBdGRcBNG5bcBuL3y523nCGOhBlVTlOc6BLANX ziZJA1xIcyRR+uBvHfJKbMmdsJD16uSQ48U4p84ma/3q99YDoMEbvV2v718f+WHLL9t3RZX3 Bn39CRqE75pP/qFO+hpl2LRjdUXYXU1ANaP3/hFDPJRKmDJIiIhGE1S4VFySnWGpZK1h47Mh 4O7GtlZq78o7QFLdn4oiUIdRU6RBLuf0rLG3hoUpIJstFWNuhHiWrBohVEFhhPtjNY4t+/H8 +v3p6x0/dn/w/3+8q6Mrnp7YGQ6rQUDvIzdcU3wSF/CD0+dwSggSAohHVLiNpbnBBAwT6HP5 lgG9v0Bff1HcwyvkufKhStEtaomu1ICCYZuMGWXsvCM8qc2wVeNu1q42u3vH9rz+WRjfsU35 3TvObtcdqra/Q5h1Q694MuOcvT5K6Ru6f9BZMbODHmVav5OKgLu4e5PrTy1+mSNNsjU3CrB5 odfVGABFUBUJPdFx26nygMEVFB9Ix+Lncx9+G/aHKWOori/X98d3oM54AlYwXnMWq1PrHAee r8ThMbhusqevb6/X5+vXj7fXF7DsqOHe9w645eO08FFp/fn5308vYOw1q9+sOqjECWoxBs6L OpifxmimtQp1V5/HrhPz3EPE8gSaCY4zxOAgzwg6N1F5YGSNHs6GqjycTd81Rj6F2iejDNuP IgyILsLgMIf93XZp2AAWsNZa2jYFaGORHn9nQMp78BS4XVEhPgfQ/dqiQg3fIGuXCPF5g7hy jO85YGM5OnYNFCqi+QhxHcIf+ATiLtUROAzx+Dpg9oFNPtCOmKarffr0AhC/dtzUcDC9YcxF CYx5dASGCOs5YtZ2utDJiHGXp5/AfSYv84ghhgrJPsFQ8YEnEMO1zgj5XMO2y6sKYOez95ns HMtwfTpgCEe7N4jrpI5JJArY1rZ2c8ElyKZPjkOq0FEDlqVbjGG9tRbmG4eQIdxHiOdY5tEH iL3ciT1saUwO4PfDXCc0beHS3ooKWz0cTVAGlWMm6CCOu2W6HkSiu8BREUTocEqYHRWIW6rK wioSpZlnYlZzyc/adCd4WF+QGBR4773RiOcCpbUx3MoPmK23W5wTiNvR/vVV3NLkAZy3+Vx+ gPtEfs5qQ3vuV3GfyY93Hh22YAb8RI6uZf/nMxkiTslPlaRTvmNamvNj47rWmkpHOUt3euPH gQXeIU4MphqRZ8P60KSkqccIQrWfjvGfSbQkrtZJFYn7zE8Igp84hdWZTbm6n2I2Kzqch4pb GmaOW7sL3KhuGOXcawoxPI8KCD9IEBFlxmMEq213Qb7gGDJCzBSzJWIgSRjDg1yP4fKpmZ83 fA9eE44TR0zEdt52AZMeHXvFEt92Fkdtil2aCSOWdJ81R9rn9efrgOjP12KhDrXDbHtL38sL kBDElkGueexOmeca3sgHyMKhACHLBRHO/yYQKvTkFEIo0U4hRCAfCWJe8QBZkPMAsrDiEbLY ddsFCR4h5uUOEM/MNTjEWy1P6h62NJvhqozQK5xCFuQuhCxWe7ddnFq77eKk4HKpEfKArw27 TWl4chzkya1r5mQQq8M1z56ctZ5LaJpPMSYNlhGzUGWBWWDiJdvwAxvTKe0iRogFcIPftU2S 1opocyPPJA9Bqv12dv8/QQkh4lCxMtbmMqXrspLAqBvda0VPQeKBOAnm2os8UYrHmQTdnjVN WF3QjX5+aPQhNDmQiiPQxlpDGMh6UIkd/DX8vH4Fhz/wwcy/NuDZGoxk1Qoy32/RnpWqGUdU re7ZFGllKUckHhMJ1/lIrwnbEiS28DhOFLcP0/skn/Vx2BRlF+mlQgQkhz0MZkRk68dg2DvR XMW0hP93Ucvyi6pmhrb5RXtgNLmsiiC5Dy90+300O6MqKlzrqZXis+dQ5GAGTWYbgp8huofC lOlf6gQxpOIjC7LOTBEpD7ypamUPYbZPiGWH9IhQWAdiXKSURR5+WxQHziVillFqnYhqNp5D k3mdzQvi/kL3c+uD0ad+dwT6iaUNoUSJVbtUtDIxABKfBTqPikhrZkvxD7YnHhSA2pySPNaa qol+yOuE86xituBSvyxOhg6mFO4FLS+O1ISBvtMxqSEd/in1vTdCiFkO9KrN9mlYssA2oQ67 9cpEP8VhmBpXE5pFZUVrWI8Zu0Qpq2OiIzAoy2Hqdwg/SiBedxE1SjLsUdV8pWVt2iTmqZw3 eglN0KpEf4UF1KIyLcSS5Q1nqmlhWOhlmPM+yvUP9ALQsPRCmDUhgLNoyj8X0jlXQ8cBPs1v UcufLqIC+ydCew7phe8zugl8rzB1U/++TNP5DkQTyzAEA1tD9k1IeNfuqXwecxGC0EtEjMGR Ojaf8IqDvAz8Y7CaUIrF3DNWNX8UF2MRTXLUvxQhsSjr0MBtwI3Age6CJq7auskYuMmhWToI Z11JWFAKpm7aH09JQganAvo54euApD6EVWHsn4dLwCU0w5ZRcz4O0SFbvWMpFLrScq5WBu6s tWKuUPubiboloa3QwxUXiqMnQG0R8JIMRUhhXYrYTzowb+ZbvDCyliO6zAwBUccRHa/LaayC rYTVXewHEkWGKQYH+GWec5blh10enoZwZLNWyf5qoRt7ZTu5C3vneR1YTSV1oxa1aHyCXdIc 1O94UneKOdtJE8Il2IDap2jgVTfk3BiQUU076eebfQ1mt4dDCPFj96QeJsbXIeYp0E44XHsW 6Wfi6/sHmJENXkeDuUoBfr/ZnlcrGFiix84wiWLZSH1MD/YHJVi9ihBzYpbaG1pqM415D9Pd ixAqetsNcAz3OqcXIwB1ceYVE46vpPTw1gFqalUUOBe6ptFQmwZmfc0PS7pvZx0zlAMeJGQR 8pYjoSc9Anpfjvp8qU4vzq1treJSnQQSKKlLy9qcjZiIT33QCjVhuOzgrG3LMOEKbX8XYyvU fiuohhdLDW97AFnZOvWsWVUlROWxzcbdbc2g3q09/zuujUioLTqnzwrtqWWW26BOBiteuBS5 858f3991GkTIjwktO2TfFUYtoPkNofWJCuWy20osNueb+D/uROSSogKfCd+uP8GnMXgcr/06 ufvzr4+7fXoPG0NXB3c/Hn8Nym6Pz++vd39e716u12/Xb//DM71KOcXX55+olfcDQgQ+vfzz Vd4repw64n2ywRhwioKLFVOgmjE31rCI0SxrwEVcwKMEnykuqQPK99kUxv8mJOkpqg6CakVH xZrCiNgxU9gfbVbWcbFcLEtZG9DhwQZYkYf0gWsKvGdVtpzdEEqBD4i/PB58IXXtfmMT7wnC JkQv5yU/Hr8/vXzXhS9BLhf4nmEE8VxqmFngZ7Ug7Ejw+6Z1CO6QIRsJKl+d+oJQGEQcRByY Gk9GRQQtS/nOl47e4cpeU/7u8PzX9S59/IXhA9TPMKzo8EmG/IoP6I/Xb9dp5yEUApQWearz s4QVOPnOTPjjaV2bEu8nI8LYfkQY24+IhfYLOWsIDqJIsPC9bqtCwmxnE1VmpQ5cRIO/yzkN 1O9nybamy+xZhwhf84/fvl8//jv46/H5tzfwQgCjdPd2/b+/nt6uQkAXkFE/+gOZ9fUFnPl/ UxcDFsSF9qSMwfs63be21LeaPAgL3dvnRraOkKYCw/gsqesQrgsi6qAACv9JECqy4JDKu58g zAZxpLSBT1BgEGQSSFvbzUqbOJeNBMHqS5iJbfgNLwI71ijgAVIsgBlWg5wtBJgYOB0I4WMe PHj8TD4CEt+HWUK8W/ZUm44cyIK2IWyaRNWOdUhPHS6RmwIhpuGhaMgbXkQYhL5h0/IvW39D B9jzL3BDSEsPSUDfoKJ03gQJ/W6BfQTvUCb3/NhTSc1/HYkQTNhWuql89eV+eEz2FenBHJtS nFjF+5xGqJEjlLNSzWcwytFRcm5aw06a1OCvhfACCoAL/5qeNuED9uyZnpVwOuS/bdc669wb IqROfPjDcVezfW2grTeEeid2OEQu5GMGoW1M/eLHrKjvw4t2BZb/+vX+9PXxWWzg8xdR3Jin fqJzEQ+uO/thclTrjUHGj3tDSEngIo4hbKjwNs1LJHoNNnuZDUJKd0zC0/xSSzC1WSUFqzPv GlMQOD8kLn/nUGpn6VHQOfAQefrd1lAHETVvs064w6k57jZY17enn/+6vvHhul3xqOxyOI63 hI81LK4ykofj7WeOorj9/CDIkhkGTqUzswl3HSgyHo31ArJDXSDUuRCflctOnsqzxMuAmWwM jdTpYABxzz8SO6ss7WklPADrrkGzwHWdjalJ/CBk21uakSDdFMi1uNcH8EM+dbBXhsCmYs4Z fOIJuR98RpluL8SfkX6BNJeS0FQVO2jQqc9n6tLmC0YeUpA9uvqUNH4sTa+TjtNm2UT8Kk9V HX7hIkMmSUx9ch14W0835we6InjzXLp9WkxdMI1J/XX07459KwajAbaU/wr4VGXj4tCEUQZF oMFP3O5CPpSzfqDxAzX/lch1xuCJQZbKqWiZyKst9SESgljNAZP4Dg6KMlyqKWSnSTeEIszP 6MwvtTmXaRNlOgI/EbGK1SzXlwfkZqdT4ZYwIfxF5sCPEFkd67wS3GCgcJD7oa6Kw+2yrjvO 7Kg7098QEfx2VtreBs9YMkEYax/OamkiPTvjJDSWB1EylI+bJMrgoo74rEz0batLrQIa5pih Ens17y5dXgn6Aw4yZhiBBP2NVDk/mwBQznewBlXz9vdbQuMPqEcIWQmTnyg1OMmlBKdxlsqr 8cR5QhtGSZhS/cEh6v1Anxwnznbn+Ud7tZrR7h1NUfQC48TRBH/+3QMRdBe6N4ZfhDkB9lS7 d4hzEna/snYUIh+8DefpOsMzLL2/QZqO25fYn02UwW093QG9+5DZ2pBflWbzeF/x1d/sdSvw HOYFxXgyptfAyUKeW+LryoTXUngnvBWFr4bo9HNayi21m2nHyKB9BQedHM6Z8QlOAvkhnGtp giKS5vCNObDcWdkuESxElOFnG4dQFL8BCJNZ0ZRqtbLWlkW4aAVImjkuYeI40Cnb3pG+o5zM AqD02U6JPD8lw8lmNgRp6ezWpkpzOmFf0tNd19afhW50wqHsQCeuRnq65xJnrYFOWevd+sRd 6LQNYWOBgID5lr2uV7I+uJTFKZv1axUeIJojcREg5lTApWJT0xvH3Rm6rvHZxiUcugpA6rs7 yoZlnJLuf2h6UjtWlDrWzpBHj1GsS5RFiS9efz4/vfzv3y0RPh2in/Xag3+9QHxIjVbz3d9v mj3/NVvWe7g90DkpQCrfb32ZsWFylp4r4roM6W0t34WN7Wjenr5/l+4VpuoQc9426EnQfkcl WMEZnPI6pYMFSX1PFpU1us1ZgsQhF973oXyQlBCjK+ClrPyyJTNhfpMcE8JHvYRU3RtrG92r z+Bw4oA8/fyAC/v3uw8xKrdZlF8//vn0/AFRRjF+5t3fYfA+Ht++Xz/mU2gcpIrldUJ5k5eb zfh46lQZJFTJ8sQnu4efiKkws0ouYFKgv/YUh5RkDzG/9P2c8J85Fydy3awIOVubq1FBqvxf HzgElpPswBaJ1CkNiYc4nH+Bl321z0r9zSZimrjNg7DS8xxEwOs2oe2M9DNYTGjqVTW8BslE DoKEQTaZJMU+F8Uu+sTBdfnf3j6+rv42BXBiU8S+/FWfqHw1VhcgVC8CLT9yYWuY9jzh7mmI ZDXhRADkZ4doHCU1XT5ljcmKf+VpetcmYad6WpZrXR31p31Q9YOaasSx4Tu237sPIaFneQOd vZXOHGUA3KTa2bdBTUYbmEIIS7EJZENcbA2Q+JJ5LvH2MWAydt7sVrrDwQSx3W68jTxGQKnu vZU3vSMaCbXrOwuVS+rUsld6qVXGEBZhCkj/SDWAzhyi18MYEKUfkbahEma10KMIcj4D+gzG Wxi+tdUQ15fjTPzi2HqdiAFRc9l/R8QKGDBRRvpAGUedLwnivD+BuITziGkutnmowoyfmcwL qDp63kp38zO22R05F9iHLvAE6GZC3JUgi0vWIYRqCWJuPUDW5rogZJnD7MyDhayB8OAw9vOO 8oZ1G8/18pBvrKWJAxxlbeYWgpWZ+5evKdtaWOWZX253ugMV7kFz52IwfyBI9nxvmfW5Yzv2 nI+K9C4+ZfKhQK700oTnU2vnS62XX1YWpjifEDbhiGECcQmj9imEsBKfblye20UsS1K9cDhB bolLhxvEXstvuCqviBJdl9bNvbVt2MKEWnvNQpcAhHDZNYUQNtYjpM429kJL91/W1Il8nAOl 6y+sRpgl5pX2cMm/ZDrl8wEAxl9dOL7cvb78xk9b+okfs2PIdxfw4+LPpz0naIdGf982LoZ0 pXWiNaVbmsIgyLauuEwXxnUU86qMBczxzrovo4b/tbTjlZmnhHabianK68VY4/xIBGkfat5s lfioqtADJw1d1tVW0REYjcnr68s7eOU084qJPQ9cIWiqEPB+E3Yp0/JvqfNzhQiJm7F5DEdW X3J+8Dp3Yc72YJgfsxzD8Yo3yl+TMjvhIFtO6+OODd/VMlV+EYMU1EK7nWXxOMeX6CEgVGVZ Btfi6crTDfPez7qa0ys2tSOCYobr8h9S/4iJqi0nOHXsnMweakY6eqKmKonu4kGbiW107PLe gXylq3Y+f+QbQonQHScbWZk6zkrNAN6nOqU6PQnnvL3qWLlXvxIki9OoluCsVjO+UfG5fYks mByJeqAzyJr7Lq71zcJn3j3L+jZNU2Po+S47ZI2OIK2REz3CPY14gxkUQ6TS6xj9xvNq1RIr 6NN12fisUh75JiongjJ1aNGq1R1Xsv/8dH35kDjIuJap/uXp6sF9trzFYvo1FrRvo7ltGxYE mkVSq0+Yri257XPSLvH2bNTg094THqOk6JIiy1pU05hsSkjhbOlLFMiJ07oiKC8wAyp3SS92 SOmyjJWaZM4CzrMCjMH5/p+ya2luG1fWf8U1q3MWcyOSei5mQZGUxJgUYYJSlGxYPraSqMa2 UrZSZ3J//e0G+ADAbsW3pqYc9dcEQby6AfRDceTccSCuq20KJ6qCAJupZPXvOk+2uwHR/o6O 1hzwDaAl5q2wdeUGUbm52MpAyzht3JPbPMFX/CkfXs9v56+Xm82vH8fXP/c3334e3y5uQOnD 8YXNK4exXPq6G0QZlbtlLcK1kozqRNlmwFOvZA/iznkQT8QTM0caEM1TNuSBZUaEFYXgieEG hma5T0GW2xj8j7Z0RkJOA1xvK30+Z9LKcKvSotUqq4jZzAaMEhdhoo9AnhdVtkRu92Gxj+Ap SQbCIRmbdiHeorhg0EJ32/XXOwWDEO6qoj7A/LCXkCpcp4yz/LrI4lVKhlOINmWRJ910s9Qi jYFWXS1Ja4hG466jKutViJYIu86+2i3RSt/SEkVZVNboV8DtUkXKuHq3EmW32K8wbm93xsqi 1HvAMJOMCE1rE+3ujNhfXepFlfkjejo//K2TQP/3/Pp3PzX6JzD1nAyr1LQFQ7IUc29kk/bJ QRt5F9Iab4iBkKZPvYw3tUeb7+BbjMk7VoNJn4YSTYBpPTCFJgXJyDbvMaF0wgXesrmYe32b iblHt5mY4G0GUxRHyYzJ+OawLfzfNFgkMSdPHQm6ZfxcSM+zO/yuKNM7kr3d6wwR5wbcHGjR b2roWIAhCfX5rfSHRFkyfQ+dOI1g3z3i8QUHTafsU9MZCw0tmuzB6PsGBPMnqdA73Ez4VIE0 opgNwK4bhgNFKz1jwquZbtyw58fH0311/BsTLZDzXoWcqpJbstqYrsbzmY7UYL2MhWRiWg2Z 03z9fuaPYh0n0fv589U6WtEygmDO31/w/v9VjX2ydbkpXszyw7Ysgu+touJ9b8Mq5nd/j+Z+ 3/fgsQj7PQjWSbV511sV8yZdvZ853MXvqCEmTSKHeZirwF30FNCZggoSLJM1aP2ah2RYH5ZL +pWHNdNYmJRokOCt3RGpk7E6mB0Ozay3gVDMR9Pe0MUGI+HBDt8F1X54HcvIIZUij+gWsV0y FXM4CUSWOUT1MSKSbbRzApZ5jC+yTn7EXb2OohrEOi08kSHPr3GkTRHjERNTOO3ewaQoRIaM YBg8Pxtbpzcy1/TplDT8bGHdGMPHuGinyJBdZYh1CYupRx+LI0N2lQFeoVv1WiV0LZk7cqOI GXXa1RewMFJPG9SpTW3KWjBttaCvEe5gwOnOZ8KVQktEoSp6zMRzbRpzysWebfBrz6vU6L/h wbONaywiT2uBUYBwI5DSpwH6NGsFk4+EbwWo6YeI3N6o5UudMNlqVTkPZ7Nx6FHUaERQFxOK OCWJJOuMLHVOUhc01bJ/VvRFOJquR6Ttq8LxvA201wCWxfXgYQTR0xF+od+LTChPb6MFsRAY mpY2aqDQe1NyKe2TozWYNpjHFXs6tvdzDgMIPKn1eXMxV6e/1GMKkBHmsXAANESvo2hnkTD9 VYg1IOibKUcuG8A4pcScW+E8qBChDh8UwyagH4wT/+pzpV0RbA3tabkUubDplLOE0Q/s9dvm E2zqt7Y/VE9rRWFXpAG5jiKGei7PP18fjsOLO2UYarnja4p9WaJpsEda2lt1WUbtSVu7yWiT /alHLH1Ah0G7SseTL4xcHOYsR1Fk9aeivA1LlfuvY1N3ImUZVjtgH43mk7kx73HTk2F83Y7F m3oj9Z/1Ihh+LQMUsPBttKmBBIXHECZ4tNaYMEo8bI/Mk3+8RHC+WE0Ll+aUUeXmYG8/3Sq5 o1q8TZ8QByt5mGbL4mB/UL4xnm1PrBpqN8BEFvijOl8yubz7VJAOR/t4ZJ3YtLdsNHObmDa3 qgp7TlB3JTr05uEW/pRm1+L+1HlA72ZbYi/IdRsMDAYttRK1x1QYaqie3xspBuXpCyqZpTlM Db6F8NBAxNGVb65XWXLAlscXGFcuOHyiPL7jHtV3DqE5VTWpt6nVEUmOL8fX08ONvmIQ99+O ynJ56IOrn8Yz+XWFV7BuuT1SZyK0jktJBhRjK9apfvAIjMn9jN6AaV51mcx4yLYcTZTDUMpq A4vEmjqkbd+8Ny7QipUmu99s3bL1GVZtVj1ImsbXSFexRn4O7ncM1R8f2+eSumvEeSqtd7WU em/7rcFg4e6Q1NBuq6yteI/P58vxx+v5gbz/TzCEKB6KDeRJ+eP57Rth+iRyaSk1ioBX8dTV sgb1PlCFX9jCcrU3htuAwdmyDXCZJ5QXhsEn83hYgr4XopVhFDCfnHzk2g4MmuVf8tfb5fh8 U7zcRN9PP/5984aeI19hkvW+xDrvaJMQGbOOEi2t9/pRuN2H1GFC64eK6Q7S7cqQtB0iQK0v YGnbShfMzcfUS5ev5/vHh/OzU5u2SUIplhiaXsAuAOOxGOIGsyi6/nsNoRadheX2ID702b3v zq/pHffhKLBjEVJDHqH1rpJtqaf/yQ9OMfqizjhoHH6Nmg/bVRlGq7U7T9Qe51NJxt5EXEZC W96r99z9vH+CRnNbzd4RoWqH9oIxHThND9Vkm9ZMjBnNIJe0SYhCs4zcViksj6s6K8I4KU0B oo9V8mola2d+OCwip5bJZt4k7tlLf4biMuKtWZUMAOGLAc32j1bET9EWtxhVSW8tGwFNLSgq h5i7tcRzJ2JvaZDNzWVPNneXBnVKU2nmGV3ynCYvGLJRdokRqKyoq5rRInXiaV2uCCq1VKgk X8yeUJhip6MRZagdnSxtvR11diUWPYx+YN6vGBhaAHGYN5/y2GJsYyofjIJWO5mQ9Kz4hGOL wkROFqVWwTWMaGd/pypyG9RhPiVqYe2c1HWJ1WjaeuD0dHr5h1tRGuulfUQrDCr1T0XZ9OCL kv2qTO7aFzU/b9ZneMnL2VwhG6heF/s24U2xjRNcxsypabKJpMQ9Aoahoq16TF5sPBnuf8+J /o5ShO8pE9S6dD8Uye1XEoE8UF1qxq6KGdRwkue8wNqkl49V+A+etW9o2LQ6PnvdhKmi3lsw +efycH5po8wS9dTsdQg7hY9hRF9pNzyuv6KLY5TggAlH2rDoRRjP+/JU0nZSDWdZzRezgPFz 0ywyn0xG1LFXg7chrczFpAUiw864017yorTSS2DHiMyb+XUuSMsJPV3NSZyar0vRlkjFgbK2 LB21ZsKdGhzo9l9s5c5xpDUYb1fpSrH3thtIbvwo0fJC1+DZLl//k4x3ZTxuf0tbE4kTsmPx 7YJlGzKe/TTgaJ4dTKjw4eH4dHw9Px8v7nyKU+lNfcb+vEXpW5AwPmTBeMImdGtxLpObwmd8 Hs0W58pf5qHHeFEB5DMW88s88iYj5exKKyZxyIWWisOAcaSAbWUZMwYWGqObUGGMLboaGo0R kKptY1fHD4Cq4QvCQ0pvq28PMqZrcnuIPt56I4/2AsmjwGf8yEBRno0n/Choca6XEeduSgCb j5lYEYAtJoyljcaYTzlE4xHjrAXY1GeWWhmFAZu5trqdBx6TAg+wZWiHVdaT8uUeNpMYLvbx 9O10uX9C/3KQI8MpOvOn9NBCaMFNXYDoGy6Axkw2R4Bm/Ltm/LtmjJ8dQPM57QMF0ILx6UKI CRARHoQ/OqBgZeH5nIXxeE6ZB/EcSQnams/iUeTBKPBYPNnuk6wQaJBaJVFF2uK3dzB2iNpN Oh8zTkmbA5eWNN2G/oFvjqyK/PGMCXaCGJOSUmELut9AH/E4R07EPI+LeqRAekwixnnfoh3f lPn+PBKBP2KiNAE2ZtyQEVtwZTYWTWi/MpnN0Izcad+OEQ9mZFg6PbkNdzPO66tX1VKu03qW /e9ZgIN0emx3iU3tTNP/WKmleRFfCSdTqZJHc49+fwsH9Ee28FiOmEg+msPzvYAeDw0+mkuP aci2hLkcMRKi4Zh6csr4mSsOeANj06Dh2YKJia/h+XTOfkKVReMJk8R3v5oqf5nhoWwjGFav 55fLTfLyaEkDFPRlAsIoG+6bwucfT6evp4H8mAf2mq7R78dnFeNWO4/Zj1RZCNropnEXY/So ZMroSVEk59x6Fd6x0fz3X+YL8mLEUIR0haQOk/d8haNNBrI5PbbuccDVGDS3Z45SihbsAFul kqIp0Mly1FhH/3y5GEeYcSPHQaTf6z7kJPpkNGWMk+JJwChDCDENDtCYmWgIjTmJDhCn2k8m C5/ueIUxKTARY+xmAJr645LVA0HkeJweiOJoyqw1WC4eLbGbiMl0Mb2yR5nMGIVPQZwGNZlN 2fae8X17RYMKmGTWMH3nzPYsFkWFwcRoUI7HTMblfOoHTGuCrJ14rGyfzJlRBuJ0PGPiPyC2 YMQwrJFQ/9Hcd4OhORyTCaPE6FU0JlzZcLY//nx+/tUcybWTdIW5G44vD79u5K+Xy/fj2+l/ MS5YHMsPIstaLm1ooa5a7y/n1w/x6e3yevrPT3RXs6fywgk/ot33v9+/Hf/MoIzj4012Pv+4 +RcU/u+br93L34yX2wWuQAsc7hbateXbr9fz28P5xxGg4bqt9usjdpVAlIsH0qLcWqFOAtil 6VDKMSMml/na4/Z3YheMYDPOzc5mV7v+XBZXNrVptQ6cHEF66T/eP12+G/Ktpb5ebsr7y/Em P7+cLm4TrpLxmJuKCmPmVHgIRlc0XwSHYR42P59Pj6fLL7Izcz9gFJN4UzHydYNKE6MPbyrp M/N3U+0YRKYzbreMkD9s9hTmzAXD7j0f799+vh6fj6DG/ISWJobqmGmwBmVPd1IYUVfOhRTM SYTb/MCs3el2j0NyenVIGjzcG5phm8l8GksihuHp2/cL2eORAJUyo0d5GH+Ma8kdQIUZrOhM MKBQxHLBhaJVIGeyu9x4M25WA8Spf3nge0zwGMQY0QNQwJwHADRlBiFCU/sYiFAKlYsc2rxZ Fjlr4YcChnA4GtEOxK22mcrMX4yYravNxIR8VaDHSMiPMoSdEBMlRJQjNhxqVbKRTPew3oyZ 1MWwHME6xgyIQlQwVuhSBdTTH7GwTD0voHsQIc5OuboNAuYMFGbRbp9Kpt2qSAZjxo9OYUww s7bHKugULpyXwpgwXojNmLIBG08CLpXHxJv7tBvsPtpmbJ/skzybjhg/v3025Y7Bv0Bn+j4R NCS///ZyvOj7AHIlumWt9BXEaMy3owV3qNIcu+fhentl4e552OPicB1wYafyPAom/pg/Tsdk klg4r0u0Q2OTR5P5OGCr6vI51dWN/PPpcvrxdPzHUfGwJvluKBfSl4en08ugUxTWxoq9+fPm 7XL/8gi7y5ejW6gK9l7uREVdBNkNgVEd2euiVtf8cb6A7D6R10YTLj9HLL05oz/hDmLMCAaN MTsP2EFwqy9iHjPfEOPmYiUyUiNzvx1a21ZcslwsvBGhborX4xvqO+R8WorRdJTTvoXLXDg3 ToQEW4ZlYcqujeAaWWSed+U6RsPs9BIZTC/OxWfCHsMCFNCd18w75fFN98SE07c3wh9N6c/4 IkLQI4anWkq3esFslFQvyGBhn7A3HXf+5/SMWjjGg3s84QR7ILtRSXFW5KZxWGKK8aTeM7J8 Fc9mY+Y8U5YrzuPosOASruFD9LzYZ5MgGx3ccdCYi76dn9DB9x13T770qL1VdXz+gVtjZrzD bE7zWuVoK6JiJ5hce3l2WIymjATXIHfCnIsRc9+qIHosVrDuMb2nIEY2b5kU3Ps8qZ20Xq2i 9MkwnoIfbhhdJHV3UQOyG2BKkdW9FK2VIaytN+mqdEYZTplomrmqaEtCxDfpck/ZwiCmXVad AtHaEr3S2BLbqxWWQcXOJ2M2IKrs45x3tj5SlaBspRVHn9/D7JHOTM4qDmhjKkwTYjrom/P+ Kk0iJqkFwl8IOV/e3Tx8P/0YRpoBxK4omspYjsUNASdYvS3/8lz63s+HzPuAotVpJTm6Hdon zASG2MnNYAOtuXDmY617OoY7E8s6xagnhMsO8Nb5Ml0nhtNZ24P49YbdEGatxyoJl5aaTlua VMR56tJEOihNJgZXJmsZrdb2Z4mwrNIqhZqKpNTx6BtEW91D9eHvEprDNOIBausgVodpnJhO Q+qOEDncZBmqQMFc8KUCU7PSi4uyV9yEsgkCAdSqLLLMrNHvEL2auNTWDb0XWD1Zu5TDu+ml UHNqm96CEfQGjyDvUzWDm1GsodoJbzRRG2QOa1yljZs6+xLDn4+k6zx9rstlG3WDjPDRglSg DsutsKvsikgUj0ke5c//vCmHhH5lQOekEqanlXUSfrgRVJCkljD8AGtt08BCAdT6Brjq6LnO 0WkX2fonZL/DLCcWlHC3xTbUrrBO+kqrZjo+i+J7Bw8VIRo5tlLlRXaaA6kqBF4Z2wAuYSAG qpAg69Zz3i7LtnirYk2SszqmHBCQofFgG5QJy2K1VOvJoFtxxYT93LZoG9xuCjV9Vd355tI8 V3pbLYk6qAMGG9t8dl8jDmHtz7e5yrzKvqjjutp7ynny2hBAhoO8xhGBciDcD7LLCIXYFCiq 4hymKK0zI2MRJVlRYRLmmMlhilyNi8LdfDQdX29sLRwU52HA6fLdwSrwTDx/5zagy7Bbyf7O 26TW3nibU5DKmut2qwFd6dbWwZ3v0t4FHmcFU/GeabhyWFjgtklnwsLOq44jsRNampCaQxtU D555nKha52WBE55+VGXxdBu3Q/mJ15h/xUJHebBf3IB5qvL3ath6Qeu5wTd5o9kSX6WfnSAy WA1NyefzUODWpwOv1EiLvwMhkiqgeT5znqd8DjjlOo+GBhni+IpB09X2/Vlf7hlKdr+rBV03 Uo4ctEeGxinlQZmuu+6bAt12nYhwSI/lzn2FoQ/b5WiXMJ8iBg2xK1t7Kl+rvBQDvFVHws5p JXx5fD2fHq2G2cZlkdKb4CxdbvdxmtPbxTikLHfaVDN9p8Ein6yczOzm196tYEdsbGH6KW47 AqmSlVAuoqKytCoNNV5MKZkYuR2yTqFak9FE03G79b8c1Fzf4X66ubzeP6gzp+FYk8z+Wkd2 ruio6SvBpJRfSWpvWiVJ26nwz6HzZCE0h/mzlptcZdTGqJo6hmm/mxTQrMJqVJky/vDoMO9s U7SZxenpeKO1WNNJKQqjTYIxH+Im3VRfrZXyqjZj4CaHyq+dHFGaVB/CqqJNa6tg+AiSYDWR 6QHeSlv9t1wyiXalk/+qZxnX5ilOQ+hLdl475gq0mUB5LD8L3HTyPFxCp4/L2NIO8TfLjI6j S9UD9pYpBT0IMMYV/yMPHXhovZI+hxXREGy3DJWuSS9yWwrdyh0KHxXdqlm1Zlu7Yy53qDxv gU+Fj6BrqbkHbengoYTGoyMi9K9LVhjFI13R1dqm2ZXGWvl8I2P9yIXXaa5uJGEcCXd2aFqT obsQVK9gQO4a8dT0K0XXQjQg/uziZv3owd3h26KCZjGOj1xCqgnKq9BYK0KXr6U0ywoe3+Sp hGXLdG292xWVFbZdETB5ngo7oO7PVo7nYrskloA2/J/Ccut8qQb4wXK3yqt6Tx89a4zS/FWp 1kkaRjdeSXsZ0jSLhILKmkWRlmntBITRmIWfNUc/LTsqjNg4LZOoquEPUS+KM8w+hZ+hFkWW FZ/MpjGY022c0GLEYDpAl6tv+h1jnkDjFOLzQPZE9w/fj058ArXsDTnjP0Hd+BDvYyWwenll XPkUC4xJw8zAXbxyIH2LWMgPq7D6sK2ccrvRWjlSKpfwDL0m7jtu4+k2NEpUxAlK77/GwYzC 0wKjdsik+uuP09t5Pp8s/vSMZIEm665a0XdJ22qwBGl1++348/F885X6QuVkY3+iIt265t8m uM+b9Of2M5rc+D/W8Y6MtKU48dTPnC6KKFSsoQKW+6IclA3KZRaXCbU63SalFXvducOpcmF/ nyL8Rs3QPJz2stmtYSlamm9pSOojLKfaVVxHZWLFZ+gOhtfpOtxWaeQ8pf84S0WySvewDTdJ 6ESs1nTMNJnYUc+LErNl8yIpjK9gKx5LlJjg0A3/IEAi27Hw8kpdl1eqc00fuiKtd8tUy3xY wDYJ9kHIKnZRGebkhJd3u1BurKHXULSkHahwNqwX5CvlwkzCAz9Q6rfrjC6o4chhXaANBUjO 5tLk+gPc4O8Yvuh8qsMnsy/MBXnPwET/6d795Tr+RVb07rfjGN/iOrRUkde/0LfaHW+SL5M4 TqgtaN9jZbjOYaDoTlWF/hX0Ze2vqNh5uoWVhtOx8ytTRvDY3fYwvopOebQkXtqutbIqzFQD +jeKHszUoM6fS70b7JdnzQJ92sH0KVHLN34v3yZ6F+d87L+LDwcNyWizGd94vRGGuUScEjqG Px6PX5/uL8c/BnWCX7JgrC4aFgyZdQ2H1Yke3p/lnl3+/q+xK2tuHMfBfyU1T7tVu12ddNKd fugHWqJttnWFkmI7L6pMxpukZnJUjpqef78AKMm8IKdqt3pCfKZ4ggAIAtz8g4iNgR69U2Ug ekcS/m0nqqK/HcOjKfFPWZt46sPrdTRAlAF3x97XTjvbBloMrBUkz7JtfEomNzb1wa+7oxtN 3OV0GHQq7QPI/Pjtz93L4+6vT08vt795vcPf5Wqhg/PDBQ3mBfj4TFqSjy7LpitcAQV/gopB n4A7LaIz1YNQ+pEZgrwqYuwMmgmSGXB/VVpmZdQI/T/NzFjfMren1lHXFtqO2Gj+7hb2xunL MPlJnx7OOS8MlVfGElktuRWcKI5QpoIXbJhl/73yZGAqOCAjGsyEIaqws+HBH3t+YIn4FnnQ ETrQEZzJtGnfGP89F8R4/jqgc+bJgAeKXyx5oA997gMNP2deOHiguILugT7ScMar3APFxRkP 9JEhYGI2eKD4W08H9J15/+WCPjLB3xnPPRfEvD91G854oiMI1HNc8B2juNrVHJ98pNmA4heB qBMVM8DbLTn2d9hA4IdjQPBrZkAcHgh+tQwIfoIHBL+fBgQ/a+MwHO4M4/fpQPjurEp13sU9 3EdyXBNBMuZjBHFVxDWzAZFIUGriV+R7SNHIVsf1jhGkSzjGD31sq1WWHfjcQsiDEC0ZB8sB oaBfgknHNmKKVsXN2s7wHepU0+qVYnIDI4a1OaWZI32a5/q7m/cX9NMO0kau5NY6EvGvPn+e o1BIXSsQPUHZAoQG5ZcxC/RVxA04uoUqUh7QG7ynIEDo0mVXQoNIuuOeovSSXZrLmhzJGq3i en2PtASkvsQVPsYae2l8+rMwerEwopQ+bil0KgvoI5rl0QZr0gMKz8IWwKJfnJeaLPd12Wom vhkGIlUJVZMDp17KrGJet4zNr3Mu7t4Iacq83DIWgwEjqkrANw98DIO1Vox79gjaimj+2fHO yh65sbCr1aIQsI1iRtM9Cr0zncWumEyt8jIWWXkwAe/XnJ1I1qf++G0ULzGnMN0cWXcN+2yx qqZI4NVFdykyN/Z2AKLsxD6KttIY8Dh5+ef57eno5ulld/T0cnS3++uZ3vU7YFiJC+EmUrSK T8JyKdJoYQidZatEVUv7IsqnhD9ainoZLQyh2r5d25dFgaGRYGg62xLBtX5VVSEaCu20laYG ZKqR5tTOpVpfmkYTahqaTNJlUHuQDsAtP4l8AxkC/5X+h+MSo7veoPrF/PjkPG+zgFC0Wbww 1pKK/uXbgvz2opWtDGqkf9JIlcaEzIQhMxAm+UFPrVUeLu0+13ofHke8v93hO7eb67fdH0fy 8Qa3GJytR3/fv90didfXp5t7IqXXb9fBVkuSPJjFBZX5DQU1Hf538rkqs+3xF+4t97D5Fqo+ Zh5Se5i4Bm2DTs6YvJf9GinhQP/KvBm1McfxN3rDUMsLdRmMhYQ+q0JdDgxsRlFFHp7+cG8n hzGaTc51Mo85FA7ERsdGvYkZJcbGzSI/yfR6qhHlPO59O+6C6T5sGF+LgefIrR/H3bhYXb/e jcPmddEkNvfYq8mDHnz9QOsuoynR7293r2/hd3Xy5ST2ESJMTJROmuPPqZqHfIhOinBGPrJl 8jSWMG0knkWqzRUsTpnhv1M16zw9sBURwVhX9ogDuxAQX06mttfSySc2FkK1seKz45NgTUDx l7Aw/xIZmmahj78zQSp6bl6dHYdvh5P75zvH8W7kQ3WMvcu6Yy7XBkTRztTEDhY6OY00H+Sx tZ/POlilIpegbMZlxBFTN5OrDgFf+ealso60bh4clAEXWIorEddOh2kTWS2m1stw3ES+X0s5 XbfUlRcCPIDkTFrm4VCeHFZQvfzZ6aPJPTzjE3ITYcofyrmf0Xzg18yVZ08+P51cx9yN6p68 nOQO/n2peV58/fjH08NR8f7w++5liKEV65UoatUlVUzsTfUMvRyKNk5hGLyhiemlT6AkegVt IYLv/lRNI7XEF3XVlhFFMXvTwe+PwLqXxz8E1kzyeh+Hmsvk2gVNeoKlYOM7NzfNQFnHBlxe gpStL4GXdImsJ9c9YvHFcSKYi0UL1z9yONBpRNZn8ecBFiRJGIi4VG0OC3Bys2MNhYJZ33RJ UZydbeIObPb3TL1X6mDLLhhzhwPBjC6Hx2FwlJ9ix5d9hqTgGEQSPXCr2gjHpGEWc7nhIqY7 Y63lwT7R84daxp6Ainqb5xINXWQlwyc2js1gIFbtLOsxdTtzYZuzz99hNaJRCd1vJD7qrd1k AdUqqc/J9R3pWIvBRFqE0G9jyrp4Vd9IEcN64hYgtUAjWCXNJTK5Akccg8wpgMHT/kf62Csl YH+9v300cRZu7nY3f94/3u75qLlJt22S2vHQDek12m72DTN0uWm0sEeMs2OVRSr01v9eHG2q nmUiWWWqbmLgPmLH7y/XL/8cvTy9v90/2hK+Fir92lUXli847EOJ+RCt2SZ7JHm+xajGwCos PX54SVs3ukiqbTfX9BrOtjvYkEwWDLXA58KNsu9ix1e6ifLfMlAL8V49yatNsjS34VrOPQR6 780FxilCf6oqc54oq6L3tfVekoNOge+ZmrgpIDl2ZOSkC/WPpFNN2zm2JFBivE9g3p9IAkAX AJtSzrbnkZ8aCiduEEToNbf4DGLGXEkAlblLBUpcM0q+WY4Jatarcw7zS84jv9xsejVtmDU0 vA4Tb/tkYzFNqbmI4CABdWyAFkVa5tOjjq5yeFxnjh8ole5FxqGXliOVW2pc+Pzy02i54+y0 HwYqtvAjYXOFxRZzpr+7zfnXoIye+1UhVomvp0Gh0HmsrFm2+Swg1MC2w3pnyU97vPtSZqT3 fesWV3aIDoswA8JJlJJd2VZti7C5YvAlU34achv76mXoi9BabA0Tsc9PzCBIyRk7AthclF5f 2cnhTBG+DukcTobljpG+AI2qqymZSQfsctEsPRoSoAo/nRztDqSJNNVd0309nanG/g40c+gj wpJySQJ4hGXSZQJi5qXu/cQPoZzgHiPE/k6Hxpd5waBy7FG1qHRpNQgJPY8uK9thaa3KJrMW Zr3IzLxZPLdqO+2MdHphn1tZ6XjF4t9TrKHI0M/Wqj676hrhVJGUOmWsPzAj0XIMZFKVWczt Lq+Ucdzdc9V5ao1NqdJOo0W20dbCa5P6BA9aR26Zl0Vjpb21bgqLqPJC+PNf514N57/so6/G B8VlFlsXi44MtRYWVqKZCusKEtsYHXGSY1bkyXd0dz3IaFT6/HL/+PanCSz2sHu9Da+u6WGR SSxtTZZx3wQhYZGBSJONtz3fWMRFq2Tz43ScjV5gDWo4tS660Uew/34qMxGXXtNtITDDZuBP N1ot7v/a/fft/qGXUF+ptzem/MXq8L5GrIm0zMhcyoLuanIQMc2jPmtStcglvb76cfL59Nyd mwrYG8bZyrngMyI1aYnruPbZFiCdpVjBrGRC8JKDULkuonqC6ZTzdENiBs967IXXf5CnyRs0 V3UumiR2W+ZDqO9dWWRbbxWvBSx3MzxVSc/Yan/Y+vKwHcAMExhVKVaUfyyJxtHKBQaHAqHe jvVkFY53xGb6fnz+dRxDgSCtbGHctMC4mg6XJPnu4Qn0gXT3+/vtrdlK7gyAuiKLmntVYapE IPFXfiJhQOqy4PQXU40uU9GIQCbwUOXsp0yYm406a2cDjPEPQARnlqFDsB8lOJ0zmKVwBgfK RBOh/mQF+gd0fAIV9Q3Yn3oGo3TTiixsRU9gt4ZJBAjb3nVU6OfLrDwUE9hhMHk6RS2cGFVU MNXsVVJeWpw1MSxfFFAMmiz5LTtyN+KnRnKJ8duCWyJcrUcY8P/92TDA5fXjrcP16nLeoNLX VtHcUNZnkNgtMYRHI+r4rK4vYM8DR0hLxodfFLDpgHWU8Re9Dh39LlrYsy4RTyR0Z/9sdQFY Wco+LzfU3njo/iZY3l6VZnnKIjXMcmL4sVUrKavpfQvSssyr8JDGCdpzlqN/vT7fP+Kd7Ot/ jh7e33a/dvAfu7ebT58+/Xt/QtPDaKp3QRJAKJeAEHg5PoCONovqwFGYYjao9Tdyw0Qk6pcf 9Bwrm4AcrmS9NiDgPeXa9/PyW7WuJXOmGgB1jee1BgTqCcoQdQZTd6AuHGMyj/eSVvzb9FXY QugZxfPnfUcjYpslPsDqI1YQrwQPXeggiAh4eQTL1ajmE/1YmSNhmqHD/y+lnpW2vSpC8YdI TZ5FMHAHEMyrH0OkR/QKxIcJTKJhEIpGeZkKzN1P0sZPbiBQvmF+rhDBTagFwVMCJgymY2BQ J8deJexMIlVeTAV16PfHRS8V6UAe8pAmagJIJKh1MsYpaPCybKrMHMP00IviMkbRwwR0UmvQ UlXx08iAUXD/xHsSg0agItk2ZexqgFbjvC2MmEkDZ6mMLnWhRbWMYwYVYU5UvwJzyOZJ2YKQ CrpxaYeeIgg+26YZRSQJqrWHSPofmlr2RPwFw5Tn/DogowPsFO4k0/ANWFy0DLH2/iJyP6ar lAnrQ/cAZO+uSyYsCEFY6mxgRcSyJnbLrIEzjqeTsI/+lNMw82CXpxu+jeHvphgodWkpN/7T fK/PRoM2zruMdzVdowCwYcINEYBU1njGDqIb5X2SDlssi9+TEqJtmShYRDXWNZ6OMSowPz2P 0GhEblBTmhhP7h6ZqCqNX7aaBbiaWJ10Vcw6Y5sBquKjO1cgocHodTNgKctcMC7kVMdc6RxO zokOmmANEw3lbRL9eiKncN5VnxZTXk7MJOgkiYAFFdN4ZY4Qe9sbJa4jlRCYEWZa4LhuLfDJ 5wFVZpE65jj8e0qRaWegtZi4RepKokRi/5qo0+obBUZTNQlra2kxYfOyoEfYlVLsfosW5xE6 BwZRNbj7DUtlnklWCtUDOv7gxFSMidFUZ0Qs7Cdiu3I+r+WUVLOO84te+szUouiV+alvSjjN eV6IQUhqTCRkU/8P+hmpOXy5AgA= --OgqxwSJOaUobr8KG--