Received: by 2002:a25:ad19:0:0:0:0:0 with SMTP id y25csp2497974ybi; Sat, 13 Jul 2019 16:22:32 -0700 (PDT) X-Google-Smtp-Source: APXvYqyltJTGpCEMxOyWxiqF+HsNF0VqAcWMuRrFEJmUwOy/s+9faYR6/ju2eKua33cGAni7E8II X-Received: by 2002:a63:20a:: with SMTP id 10mr18878712pgc.226.1563060152420; Sat, 13 Jul 2019 16:22:32 -0700 (PDT) ARC-Seal: i=1; a=rsa-sha256; t=1563060152; cv=none; d=google.com; s=arc-20160816; b=dHHdGToJ4mFizCfyKxpodK0xNcp7x1c0bqxUyYc5AXvadwsQ6jmxOrhQ0nOxdqE4zO FUlZnWzWFRiFEHP+GHR2M0YvlgX3XQTdS4a/hlLe3Edn3KBrSToqkQVy+otrxx6nym/E OjrBw+7ywLM8olq7O7O3fVPXbR6XVBGEfwFwjFG43aT4zWrwYo5GydRz3MCAyrnZjjJ/ Ot4UpsJlEGjLY3cLlrAbVzwTisQBwpHVXGx7xEesMZvYnMVt0hOVOO+A7Yjz04/ybNiW OvyziH6So0fviwETyO3oVt7YFI+19qZoUQV2ak6rQfiAYkJB6zFoK+F7Ktn+WjOhRXXA YfSQ== ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=google.com; s=arc-20160816; h=list-id:precedence:sender:user-agent:in-reply-to :content-transfer-encoding:content-disposition:mime-version :references:message-id:subject:cc:to:from:date; bh=UYb+Jz0adK3pjG+wYEg9Zy23KutHaSFzwnYIKwSzo6k=; b=eRG4lXjqQ/Q4iO7Nfr2ya7rSCdjOeDzQR1+ZK90G2DDQCZgsWfeXD1+Cxq1zvzg+pK kesF6EIj5q15svvmEvRCqdTLxj7DUD8DrUHz3krd6Cx5Hy68WCXjzNRS47k5ii0O4oCa Jap8D0Y2DUcjWP63tQ948p5D4MMp8NgxqD81HtRuvr0/cb65j0s5qnRGQtuwUftL7YnM Z2h7fGHA0rDmBW25Zs68fqeK9F/qvBTp7ffD9ed5TUqvb5mW4Ti4FKLb2gy0lHta+i0w YvzeDtDGsv02UQleMYzAdd4HFGkmWrj8EjC8WtoG6DrMyc9xeuBSk1u8Yv3KjGxpgd1b cIaQ== ARC-Authentication-Results: i=1; mx.google.com; spf=pass (google.com: best guess record for domain of linux-kernel-owner@vger.kernel.org designates 209.132.180.67 as permitted sender) smtp.mailfrom=linux-kernel-owner@vger.kernel.org; dmarc=fail (p=NONE sp=NONE dis=NONE) header.from=intel.com Return-Path: Received: from vger.kernel.org (vger.kernel.org. [209.132.180.67]) by mx.google.com with ESMTP id p17si6450366plq.138.2019.07.13.16.22.15; Sat, 13 Jul 2019 16:22:32 -0700 (PDT) Received-SPF: pass (google.com: best guess record for domain of linux-kernel-owner@vger.kernel.org designates 209.132.180.67 as permitted sender) client-ip=209.132.180.67; Authentication-Results: mx.google.com; spf=pass (google.com: best guess record for domain of linux-kernel-owner@vger.kernel.org designates 209.132.180.67 as permitted sender) smtp.mailfrom=linux-kernel-owner@vger.kernel.org; dmarc=fail (p=NONE sp=NONE dis=NONE) header.from=intel.com Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S1728754AbfGMXV4 (ORCPT + 99 others); Sat, 13 Jul 2019 19:21:56 -0400 Received: from mga17.intel.com ([192.55.52.151]:20032 "EHLO mga17.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S1728736AbfGMXVz (ORCPT ); Sat, 13 Jul 2019 19:21:55 -0400 X-Amp-Result: UNKNOWN X-Amp-Original-Verdict: FILE UNKNOWN X-Amp-File-Uploaded: False Received: from fmsmga007.fm.intel.com ([10.253.24.52]) by fmsmga107.fm.intel.com with ESMTP/TLS/DHE-RSA-AES256-GCM-SHA384; 13 Jul 2019 16:21:49 -0700 X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.63,488,1557212400"; d="gz'50?scan'50,208,50";a="168627538" Received: from lkp-server01.sh.intel.com (HELO lkp-server01) ([10.239.97.150]) by fmsmga007.fm.intel.com with ESMTP; 13 Jul 2019 16:21:46 -0700 Received: from kbuild by lkp-server01 with local (Exim 4.89) (envelope-from ) id 1hmRKs-0000LL-12; Sun, 14 Jul 2019 07:21:46 +0800 Date: Sun, 14 Jul 2019 07:21:37 +0800 From: kbuild test robot To: Zeng Tao Cc: kbuild-all@01.org, prime.zeng@hisilicon.com, kishon@ti.com, Maxime Ripard , Chen-Yu Tsai , Paul Kocialkowski , Sakari Ailus , linux-kernel@vger.kernel.org, linux-arm-kernel@lists.infradead.org Subject: Re: [PATCH] phy: Change the configuration interface param to void* to make it more general Message-ID: <201907140740.xFpsm76a%lkp@intel.com> References: <1562868255-31467-1-git-send-email-prime.zeng@hisilicon.com> MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="yzndhqamitwpq62i" Content-Disposition: inline Content-Transfer-Encoding: 8bit In-Reply-To: <1562868255-31467-1-git-send-email-prime.zeng@hisilicon.com> X-Patchwork-Hint: ignore User-Agent: NeoMutt/20170113 (1.7.2) Sender: linux-kernel-owner@vger.kernel.org Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --yzndhqamitwpq62i Content-Type: text/plain; charset=unknown-8bit Content-Disposition: inline Content-Transfer-Encoding: 8bit Hi Zeng, Thank you for the patch! Perhaps something to improve: [auto build test WARNING on linus/master] [cannot apply to v5.2 next-20190712] [if your patch is applied to the wrong git tree, please drop us a note to help improve the system] url: https://github.com/0day-ci/linux/commits/Zeng-Tao/phy-Change-the-configuration-interface-param-to-void-to-make-it-more-general/20190713-213420 config: arm-allmodconfig (attached as .config) compiler: arm-linux-gnueabi-gcc (GCC) 7.4.0 reproduce: wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # save the attached .config to linux build tree GCC_VERSION=7.4.0 make.cross ARCH=arm If you fix the issue, kindly add following tag Reported-by: kbuild test robot All warnings (new ones prefixed by >>): >> drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:155:16: warning: 'struct phy_configure_opts_mipi_dphy' declared inside parameter list will not be visible outside of this definition or declaration struct phy_configure_opts_mipi_dphy *dphy_opts, ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c: In function 'mixel_dphy_config_from_opts': drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:165:15: error: dereferencing pointer to incomplete type 'struct phy_configure_opts_mipi_dphy' if (dphy_opts->hs_clk_rate > DATA_RATE_MAX_SPEED || ^~ drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c: At top level: >> drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:320:56: warning: 'union phy_configure_opts' declared inside parameter list will not be visible outside of this definition or declaration static int mixel_dphy_configure(struct phy *phy, union phy_configure_opts *opts) ^~~~~~~~~~~~~~~~~~ drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c: In function 'mixel_dphy_configure': drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:326:46: error: dereferencing pointer to incomplete type 'union phy_configure_opts' ret = mixel_dphy_config_from_opts(phy, &opts->mipi_dphy, &cfg); ^~ drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c: At top level: drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:349:17: warning: 'union phy_configure_opts' declared inside parameter list will not be visible outside of this definition or declaration union phy_configure_opts *opts) ^~~~~~~~~~~~~~~~~~ drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c: In function 'mixel_dphy_validate': drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:356:47: error: dereferencing pointer to incomplete type 'union phy_configure_opts' return mixel_dphy_config_from_opts(phy, &opts->mipi_dphy, &cfg); ^~ drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c: At top level: drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:419:15: error: initialization from incompatible pointer type [-Werror=incompatible-pointer-types] .configure = mixel_dphy_configure, ^~~~~~~~~~~~~~~~~~~~ drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:419:15: note: (near initialization for 'mixel_dphy_phy_ops.configure') drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:420:14: error: initialization from incompatible pointer type [-Werror=incompatible-pointer-types] .validate = mixel_dphy_validate, ^~~~~~~~~~~~~~~~~~~ drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:420:14: note: (near initialization for 'mixel_dphy_phy_ops.validate') drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c: In function 'mixel_dphy_validate': >> drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c:357:1: warning: control reaches end of non-void function [-Wreturn-type] } ^ cc1: some warnings being treated as errors -- drivers/gpu/drm/sun4i/sun6i_mipi_dsi.c: In function 'sun6i_dsi_encoder_enable': drivers/gpu/drm/sun4i/sun6i_mipi_dsi.c:720:8: error: variable 'opts' has initializer but incomplete type union phy_configure_opts opts = { 0 }; ^~~~~~~~~~~~~~~~~~ >> drivers/gpu/drm/sun4i/sun6i_mipi_dsi.c:720:36: warning: excess elements in union initializer union phy_configure_opts opts = { 0 }; ^ drivers/gpu/drm/sun4i/sun6i_mipi_dsi.c:720:36: note: (near initialization for 'opts') drivers/gpu/drm/sun4i/sun6i_mipi_dsi.c:720:27: error: storage size of 'opts' isn't known union phy_configure_opts opts = { 0 }; ^~~~ drivers/gpu/drm/sun4i/sun6i_mipi_dsi.c:720:27: warning: unused variable 'opts' [-Wunused-variable] vim +155 drivers/phy/freescale/phy-fsl-imx8-mipi-dphy.c f4c8116e294b12c Guido Günther 2019-06-20 153 f4c8116e294b12c Guido Günther 2019-06-20 154 static int mixel_dphy_config_from_opts(struct phy *phy, f4c8116e294b12c Guido Günther 2019-06-20 @155 struct phy_configure_opts_mipi_dphy *dphy_opts, f4c8116e294b12c Guido Günther 2019-06-20 156 struct mixel_dphy_cfg *cfg) f4c8116e294b12c Guido Günther 2019-06-20 157 { f4c8116e294b12c Guido Günther 2019-06-20 158 struct mixel_dphy_priv *priv = dev_get_drvdata(phy->dev.parent); f4c8116e294b12c Guido Günther 2019-06-20 159 unsigned long ref_clk = clk_get_rate(priv->phy_ref_clk); f4c8116e294b12c Guido Günther 2019-06-20 160 u32 lp_t, numerator, denominator; f4c8116e294b12c Guido Günther 2019-06-20 161 unsigned long long tmp; f4c8116e294b12c Guido Günther 2019-06-20 162 u32 n; f4c8116e294b12c Guido Günther 2019-06-20 163 int i; f4c8116e294b12c Guido Günther 2019-06-20 164 f4c8116e294b12c Guido Günther 2019-06-20 165 if (dphy_opts->hs_clk_rate > DATA_RATE_MAX_SPEED || f4c8116e294b12c Guido Günther 2019-06-20 166 dphy_opts->hs_clk_rate < DATA_RATE_MIN_SPEED) f4c8116e294b12c Guido Günther 2019-06-20 167 return -EINVAL; f4c8116e294b12c Guido Günther 2019-06-20 168 f4c8116e294b12c Guido Günther 2019-06-20 169 numerator = dphy_opts->hs_clk_rate; f4c8116e294b12c Guido Günther 2019-06-20 170 denominator = ref_clk; f4c8116e294b12c Guido Günther 2019-06-20 171 get_best_ratio(&numerator, &denominator, 255, 256); f4c8116e294b12c Guido Günther 2019-06-20 172 if (!numerator || !denominator) { f4c8116e294b12c Guido Günther 2019-06-20 173 dev_err(&phy->dev, "Invalid %d/%d for %ld/%ld\n", f4c8116e294b12c Guido Günther 2019-06-20 174 numerator, denominator, f4c8116e294b12c Guido Günther 2019-06-20 175 dphy_opts->hs_clk_rate, ref_clk); f4c8116e294b12c Guido Günther 2019-06-20 176 return -EINVAL; f4c8116e294b12c Guido Günther 2019-06-20 177 } f4c8116e294b12c Guido Günther 2019-06-20 178 f4c8116e294b12c Guido Günther 2019-06-20 179 while ((numerator < 16) && (denominator <= 128)) { f4c8116e294b12c Guido Günther 2019-06-20 180 numerator <<= 1; f4c8116e294b12c Guido Günther 2019-06-20 181 denominator <<= 1; f4c8116e294b12c Guido Günther 2019-06-20 182 } f4c8116e294b12c Guido Günther 2019-06-20 183 /* f4c8116e294b12c Guido Günther 2019-06-20 184 * CM ranges between 16 and 255 f4c8116e294b12c Guido Günther 2019-06-20 185 * CN ranges between 1 and 32 f4c8116e294b12c Guido Günther 2019-06-20 186 * CO is power of 2: 1, 2, 4, 8 f4c8116e294b12c Guido Günther 2019-06-20 187 */ f4c8116e294b12c Guido Günther 2019-06-20 188 i = __ffs(denominator); f4c8116e294b12c Guido Günther 2019-06-20 189 if (i > 3) f4c8116e294b12c Guido Günther 2019-06-20 190 i = 3; f4c8116e294b12c Guido Günther 2019-06-20 191 cfg->cn = denominator >> i; f4c8116e294b12c Guido Günther 2019-06-20 192 cfg->co = 1 << i; f4c8116e294b12c Guido Günther 2019-06-20 193 cfg->cm = numerator; f4c8116e294b12c Guido Günther 2019-06-20 194 f4c8116e294b12c Guido Günther 2019-06-20 195 if (cfg->cm < 16 || cfg->cm > 255 || f4c8116e294b12c Guido Günther 2019-06-20 196 cfg->cn < 1 || cfg->cn > 32 || f4c8116e294b12c Guido Günther 2019-06-20 197 cfg->co < 1 || cfg->co > 8) { f4c8116e294b12c Guido Günther 2019-06-20 198 dev_err(&phy->dev, "Invalid CM/CN/CO values: %u/%u/%u\n", f4c8116e294b12c Guido Günther 2019-06-20 199 cfg->cm, cfg->cn, cfg->co); f4c8116e294b12c Guido Günther 2019-06-20 200 dev_err(&phy->dev, "for hs_clk/ref_clk=%ld/%ld ~ %d/%d\n", f4c8116e294b12c Guido Günther 2019-06-20 201 dphy_opts->hs_clk_rate, ref_clk, f4c8116e294b12c Guido Günther 2019-06-20 202 numerator, denominator); f4c8116e294b12c Guido Günther 2019-06-20 203 return -EINVAL; f4c8116e294b12c Guido Günther 2019-06-20 204 } f4c8116e294b12c Guido Günther 2019-06-20 205 f4c8116e294b12c Guido Günther 2019-06-20 206 dev_dbg(&phy->dev, "hs_clk/ref_clk=%ld/%ld ~ %d/%d\n", f4c8116e294b12c Guido Günther 2019-06-20 207 dphy_opts->hs_clk_rate, ref_clk, numerator, denominator); f4c8116e294b12c Guido Günther 2019-06-20 208 f4c8116e294b12c Guido Günther 2019-06-20 209 /* LP clock period */ f4c8116e294b12c Guido Günther 2019-06-20 210 tmp = 1000000000000LL; f4c8116e294b12c Guido Günther 2019-06-20 211 do_div(tmp, dphy_opts->lp_clk_rate); /* ps */ f4c8116e294b12c Guido Günther 2019-06-20 212 if (tmp > ULONG_MAX) f4c8116e294b12c Guido Günther 2019-06-20 213 return -EINVAL; f4c8116e294b12c Guido Günther 2019-06-20 214 f4c8116e294b12c Guido Günther 2019-06-20 215 lp_t = tmp; f4c8116e294b12c Guido Günther 2019-06-20 216 dev_dbg(&phy->dev, "LP clock %lu, period: %u ps\n", f4c8116e294b12c Guido Günther 2019-06-20 217 dphy_opts->lp_clk_rate, lp_t); f4c8116e294b12c Guido Günther 2019-06-20 218 f4c8116e294b12c Guido Günther 2019-06-20 219 /* hs_prepare: in lp clock periods */ f4c8116e294b12c Guido Günther 2019-06-20 220 if (2 * dphy_opts->hs_prepare > 5 * lp_t) { f4c8116e294b12c Guido Günther 2019-06-20 221 dev_err(&phy->dev, f4c8116e294b12c Guido Günther 2019-06-20 222 "hs_prepare (%u) > 2.5 * lp clock period (%u)\n", f4c8116e294b12c Guido Günther 2019-06-20 223 dphy_opts->hs_prepare, lp_t); f4c8116e294b12c Guido Günther 2019-06-20 224 return -EINVAL; f4c8116e294b12c Guido Günther 2019-06-20 225 } f4c8116e294b12c Guido Günther 2019-06-20 226 /* 00: lp_t, 01: 1.5 * lp_t, 10: 2 * lp_t, 11: 2.5 * lp_t */ f4c8116e294b12c Guido Günther 2019-06-20 227 if (dphy_opts->hs_prepare < lp_t) { f4c8116e294b12c Guido Günther 2019-06-20 228 n = 0; f4c8116e294b12c Guido Günther 2019-06-20 229 } else { f4c8116e294b12c Guido Günther 2019-06-20 230 tmp = 2 * (dphy_opts->hs_prepare - lp_t); f4c8116e294b12c Guido Günther 2019-06-20 231 do_div(tmp, lp_t); f4c8116e294b12c Guido Günther 2019-06-20 232 n = tmp; f4c8116e294b12c Guido Günther 2019-06-20 233 } f4c8116e294b12c Guido Günther 2019-06-20 234 cfg->m_prg_hs_prepare = n; f4c8116e294b12c Guido Günther 2019-06-20 235 f4c8116e294b12c Guido Günther 2019-06-20 236 /* clk_prepare: in lp clock periods */ f4c8116e294b12c Guido Günther 2019-06-20 237 if (2 * dphy_opts->clk_prepare > 3 * lp_t) { f4c8116e294b12c Guido Günther 2019-06-20 238 dev_err(&phy->dev, f4c8116e294b12c Guido Günther 2019-06-20 239 "clk_prepare (%u) > 1.5 * lp clock period (%u)\n", f4c8116e294b12c Guido Günther 2019-06-20 240 dphy_opts->clk_prepare, lp_t); f4c8116e294b12c Guido Günther 2019-06-20 241 return -EINVAL; f4c8116e294b12c Guido Günther 2019-06-20 242 } f4c8116e294b12c Guido Günther 2019-06-20 243 /* 00: lp_t, 01: 1.5 * lp_t */ f4c8116e294b12c Guido Günther 2019-06-20 244 cfg->mc_prg_hs_prepare = dphy_opts->clk_prepare > lp_t ? 1 : 0; f4c8116e294b12c Guido Günther 2019-06-20 245 f4c8116e294b12c Guido Günther 2019-06-20 246 /* hs_zero: formula from NXP BSP */ f4c8116e294b12c Guido Günther 2019-06-20 247 n = (144 * (dphy_opts->hs_clk_rate / 1000000) - 47500) / 10000; f4c8116e294b12c Guido Günther 2019-06-20 248 cfg->m_prg_hs_zero = n < 1 ? 1 : n; f4c8116e294b12c Guido Günther 2019-06-20 249 f4c8116e294b12c Guido Günther 2019-06-20 250 /* clk_zero: formula from NXP BSP */ f4c8116e294b12c Guido Günther 2019-06-20 251 n = (34 * (dphy_opts->hs_clk_rate / 1000000) - 2500) / 1000; f4c8116e294b12c Guido Günther 2019-06-20 252 cfg->mc_prg_hs_zero = n < 1 ? 1 : n; f4c8116e294b12c Guido Günther 2019-06-20 253 f4c8116e294b12c Guido Günther 2019-06-20 254 /* clk_trail, hs_trail: formula from NXP BSP */ f4c8116e294b12c Guido Günther 2019-06-20 255 n = (103 * (dphy_opts->hs_clk_rate / 1000000) + 10000) / 10000; f4c8116e294b12c Guido Günther 2019-06-20 256 if (n > 15) f4c8116e294b12c Guido Günther 2019-06-20 257 n = 15; f4c8116e294b12c Guido Günther 2019-06-20 258 if (n < 1) f4c8116e294b12c Guido Günther 2019-06-20 259 n = 1; f4c8116e294b12c Guido Günther 2019-06-20 260 cfg->m_prg_hs_trail = n; f4c8116e294b12c Guido Günther 2019-06-20 261 cfg->mc_prg_hs_trail = n; f4c8116e294b12c Guido Günther 2019-06-20 262 f4c8116e294b12c Guido Günther 2019-06-20 263 /* rxhs_settle: formula from NXP BSP */ f4c8116e294b12c Guido Günther 2019-06-20 264 if (dphy_opts->hs_clk_rate < MBPS(80)) f4c8116e294b12c Guido Günther 2019-06-20 265 cfg->rxhs_settle = 0x0d; f4c8116e294b12c Guido Günther 2019-06-20 266 else if (dphy_opts->hs_clk_rate < MBPS(90)) f4c8116e294b12c Guido Günther 2019-06-20 267 cfg->rxhs_settle = 0x0c; f4c8116e294b12c Guido Günther 2019-06-20 268 else if (dphy_opts->hs_clk_rate < MBPS(125)) f4c8116e294b12c Guido Günther 2019-06-20 269 cfg->rxhs_settle = 0x0b; f4c8116e294b12c Guido Günther 2019-06-20 270 else if (dphy_opts->hs_clk_rate < MBPS(150)) f4c8116e294b12c Guido Günther 2019-06-20 271 cfg->rxhs_settle = 0x0a; f4c8116e294b12c Guido Günther 2019-06-20 272 else if (dphy_opts->hs_clk_rate < MBPS(225)) f4c8116e294b12c Guido Günther 2019-06-20 273 cfg->rxhs_settle = 0x09; f4c8116e294b12c Guido Günther 2019-06-20 274 else if (dphy_opts->hs_clk_rate < MBPS(500)) f4c8116e294b12c Guido Günther 2019-06-20 275 cfg->rxhs_settle = 0x08; f4c8116e294b12c Guido Günther 2019-06-20 276 else f4c8116e294b12c Guido Günther 2019-06-20 277 cfg->rxhs_settle = 0x07; f4c8116e294b12c Guido Günther 2019-06-20 278 f4c8116e294b12c Guido Günther 2019-06-20 279 dev_dbg(&phy->dev, "phy_config: %u %u %u %u %u %u %u\n", f4c8116e294b12c Guido Günther 2019-06-20 280 cfg->m_prg_hs_prepare, cfg->mc_prg_hs_prepare, f4c8116e294b12c Guido Günther 2019-06-20 281 cfg->m_prg_hs_zero, cfg->mc_prg_hs_zero, f4c8116e294b12c Guido Günther 2019-06-20 282 cfg->m_prg_hs_trail, cfg->mc_prg_hs_trail, f4c8116e294b12c Guido Günther 2019-06-20 283 cfg->rxhs_settle); f4c8116e294b12c Guido Günther 2019-06-20 284 f4c8116e294b12c Guido Günther 2019-06-20 285 return 0; f4c8116e294b12c Guido Günther 2019-06-20 286 } f4c8116e294b12c Guido Günther 2019-06-20 287 f4c8116e294b12c Guido Günther 2019-06-20 288 static void mixel_phy_set_hs_timings(struct phy *phy) f4c8116e294b12c Guido Günther 2019-06-20 289 { f4c8116e294b12c Guido Günther 2019-06-20 290 struct mixel_dphy_priv *priv = phy_get_drvdata(phy); f4c8116e294b12c Guido Günther 2019-06-20 291 f4c8116e294b12c Guido Günther 2019-06-20 292 phy_write(phy, priv->cfg.m_prg_hs_prepare, DPHY_M_PRG_HS_PREPARE); f4c8116e294b12c Guido Günther 2019-06-20 293 phy_write(phy, priv->cfg.mc_prg_hs_prepare, DPHY_MC_PRG_HS_PREPARE); f4c8116e294b12c Guido Günther 2019-06-20 294 phy_write(phy, priv->cfg.m_prg_hs_zero, DPHY_M_PRG_HS_ZERO); f4c8116e294b12c Guido Günther 2019-06-20 295 phy_write(phy, priv->cfg.mc_prg_hs_zero, DPHY_MC_PRG_HS_ZERO); f4c8116e294b12c Guido Günther 2019-06-20 296 phy_write(phy, priv->cfg.m_prg_hs_trail, DPHY_M_PRG_HS_TRAIL); f4c8116e294b12c Guido Günther 2019-06-20 297 phy_write(phy, priv->cfg.mc_prg_hs_trail, DPHY_MC_PRG_HS_TRAIL); f4c8116e294b12c Guido Günther 2019-06-20 298 phy_write(phy, priv->cfg.rxhs_settle, priv->devdata->reg_rxhs_settle); f4c8116e294b12c Guido Günther 2019-06-20 299 } f4c8116e294b12c Guido Günther 2019-06-20 300 f4c8116e294b12c Guido Günther 2019-06-20 301 static int mixel_dphy_set_pll_params(struct phy *phy) f4c8116e294b12c Guido Günther 2019-06-20 302 { f4c8116e294b12c Guido Günther 2019-06-20 303 struct mixel_dphy_priv *priv = dev_get_drvdata(phy->dev.parent); f4c8116e294b12c Guido Günther 2019-06-20 304 f4c8116e294b12c Guido Günther 2019-06-20 305 if (priv->cfg.cm < 16 || priv->cfg.cm > 255 || f4c8116e294b12c Guido Günther 2019-06-20 306 priv->cfg.cn < 1 || priv->cfg.cn > 32 || f4c8116e294b12c Guido Günther 2019-06-20 307 priv->cfg.co < 1 || priv->cfg.co > 8) { f4c8116e294b12c Guido Günther 2019-06-20 308 dev_err(&phy->dev, "Invalid CM/CN/CO values! (%u/%u/%u)\n", f4c8116e294b12c Guido Günther 2019-06-20 309 priv->cfg.cm, priv->cfg.cn, priv->cfg.co); f4c8116e294b12c Guido Günther 2019-06-20 310 return -EINVAL; f4c8116e294b12c Guido Günther 2019-06-20 311 } f4c8116e294b12c Guido Günther 2019-06-20 312 dev_dbg(&phy->dev, "Using CM:%u CN:%u CO:%u\n", f4c8116e294b12c Guido Günther 2019-06-20 313 priv->cfg.cm, priv->cfg.cn, priv->cfg.co); f4c8116e294b12c Guido Günther 2019-06-20 314 phy_write(phy, CM(priv->cfg.cm), DPHY_CM); f4c8116e294b12c Guido Günther 2019-06-20 315 phy_write(phy, CN(priv->cfg.cn), DPHY_CN); f4c8116e294b12c Guido Günther 2019-06-20 316 phy_write(phy, CO(priv->cfg.co), DPHY_CO); f4c8116e294b12c Guido Günther 2019-06-20 317 return 0; f4c8116e294b12c Guido Günther 2019-06-20 318 } f4c8116e294b12c Guido Günther 2019-06-20 319 f4c8116e294b12c Guido Günther 2019-06-20 @320 static int mixel_dphy_configure(struct phy *phy, union phy_configure_opts *opts) f4c8116e294b12c Guido Günther 2019-06-20 321 { f4c8116e294b12c Guido Günther 2019-06-20 322 struct mixel_dphy_priv *priv = phy_get_drvdata(phy); f4c8116e294b12c Guido Günther 2019-06-20 323 struct mixel_dphy_cfg cfg = { 0 }; f4c8116e294b12c Guido Günther 2019-06-20 324 int ret; f4c8116e294b12c Guido Günther 2019-06-20 325 f4c8116e294b12c Guido Günther 2019-06-20 326 ret = mixel_dphy_config_from_opts(phy, &opts->mipi_dphy, &cfg); f4c8116e294b12c Guido Günther 2019-06-20 327 if (ret) f4c8116e294b12c Guido Günther 2019-06-20 328 return ret; f4c8116e294b12c Guido Günther 2019-06-20 329 f4c8116e294b12c Guido Günther 2019-06-20 330 /* Update the configuration */ f4c8116e294b12c Guido Günther 2019-06-20 331 memcpy(&priv->cfg, &cfg, sizeof(struct mixel_dphy_cfg)); f4c8116e294b12c Guido Günther 2019-06-20 332 f4c8116e294b12c Guido Günther 2019-06-20 333 phy_write(phy, 0x00, DPHY_LOCK_BYP); f4c8116e294b12c Guido Günther 2019-06-20 334 phy_write(phy, 0x01, priv->devdata->reg_tx_rcal); f4c8116e294b12c Guido Günther 2019-06-20 335 phy_write(phy, 0x00, priv->devdata->reg_auto_pd_en); f4c8116e294b12c Guido Günther 2019-06-20 336 phy_write(phy, 0x02, priv->devdata->reg_rxlprp); f4c8116e294b12c Guido Günther 2019-06-20 337 phy_write(phy, 0x02, priv->devdata->reg_rxcdrp); f4c8116e294b12c Guido Günther 2019-06-20 338 phy_write(phy, 0x25, DPHY_TST); f4c8116e294b12c Guido Günther 2019-06-20 339 f4c8116e294b12c Guido Günther 2019-06-20 340 mixel_phy_set_hs_timings(phy); f4c8116e294b12c Guido Günther 2019-06-20 341 ret = mixel_dphy_set_pll_params(phy); f4c8116e294b12c Guido Günther 2019-06-20 342 if (ret < 0) f4c8116e294b12c Guido Günther 2019-06-20 343 return ret; f4c8116e294b12c Guido Günther 2019-06-20 344 f4c8116e294b12c Guido Günther 2019-06-20 345 return 0; f4c8116e294b12c Guido Günther 2019-06-20 346 } f4c8116e294b12c Guido Günther 2019-06-20 347 f4c8116e294b12c Guido Günther 2019-06-20 348 static int mixel_dphy_validate(struct phy *phy, enum phy_mode mode, int submode, f4c8116e294b12c Guido Günther 2019-06-20 349 union phy_configure_opts *opts) f4c8116e294b12c Guido Günther 2019-06-20 350 { f4c8116e294b12c Guido Günther 2019-06-20 351 struct mixel_dphy_cfg cfg = { 0 }; f4c8116e294b12c Guido Günther 2019-06-20 352 f4c8116e294b12c Guido Günther 2019-06-20 353 if (mode != PHY_MODE_MIPI_DPHY) f4c8116e294b12c Guido Günther 2019-06-20 354 return -EINVAL; f4c8116e294b12c Guido Günther 2019-06-20 355 f4c8116e294b12c Guido Günther 2019-06-20 356 return mixel_dphy_config_from_opts(phy, &opts->mipi_dphy, &cfg); f4c8116e294b12c Guido Günther 2019-06-20 357 } f4c8116e294b12c Guido Günther 2019-06-20 358 f4c8116e294b12c Guido Günther 2019-06-20 359 static int mixel_dphy_init(struct phy *phy) f4c8116e294b12c Guido Günther 2019-06-20 360 { f4c8116e294b12c Guido Günther 2019-06-20 361 phy_write(phy, PWR_OFF, DPHY_PD_PLL); f4c8116e294b12c Guido Günther 2019-06-20 362 phy_write(phy, PWR_OFF, DPHY_PD_DPHY); f4c8116e294b12c Guido Günther 2019-06-20 363 f4c8116e294b12c Guido Günther 2019-06-20 364 return 0; f4c8116e294b12c Guido Günther 2019-06-20 365 } f4c8116e294b12c Guido Günther 2019-06-20 366 f4c8116e294b12c Guido Günther 2019-06-20 367 static int mixel_dphy_exit(struct phy *phy) f4c8116e294b12c Guido Günther 2019-06-20 368 { f4c8116e294b12c Guido Günther 2019-06-20 369 phy_write(phy, 0, DPHY_CM); f4c8116e294b12c Guido Günther 2019-06-20 370 phy_write(phy, 0, DPHY_CN); f4c8116e294b12c Guido Günther 2019-06-20 371 phy_write(phy, 0, DPHY_CO); f4c8116e294b12c Guido Günther 2019-06-20 372 f4c8116e294b12c Guido Günther 2019-06-20 373 return 0; f4c8116e294b12c Guido Günther 2019-06-20 374 } f4c8116e294b12c Guido Günther 2019-06-20 375 f4c8116e294b12c Guido Günther 2019-06-20 376 static int mixel_dphy_power_on(struct phy *phy) f4c8116e294b12c Guido Günther 2019-06-20 377 { f4c8116e294b12c Guido Günther 2019-06-20 378 struct mixel_dphy_priv *priv = phy_get_drvdata(phy); f4c8116e294b12c Guido Günther 2019-06-20 379 u32 locked; f4c8116e294b12c Guido Günther 2019-06-20 380 int ret; f4c8116e294b12c Guido Günther 2019-06-20 381 f4c8116e294b12c Guido Günther 2019-06-20 382 ret = clk_prepare_enable(priv->phy_ref_clk); f4c8116e294b12c Guido Günther 2019-06-20 383 if (ret < 0) f4c8116e294b12c Guido Günther 2019-06-20 384 return ret; f4c8116e294b12c Guido Günther 2019-06-20 385 f4c8116e294b12c Guido Günther 2019-06-20 386 phy_write(phy, PWR_ON, DPHY_PD_PLL); f4c8116e294b12c Guido Günther 2019-06-20 387 ret = regmap_read_poll_timeout(priv->regmap, DPHY_LOCK, locked, f4c8116e294b12c Guido Günther 2019-06-20 388 locked, PLL_LOCK_SLEEP, f4c8116e294b12c Guido Günther 2019-06-20 389 PLL_LOCK_TIMEOUT); f4c8116e294b12c Guido Günther 2019-06-20 390 if (ret < 0) { f4c8116e294b12c Guido Günther 2019-06-20 391 dev_err(&phy->dev, "Could not get DPHY lock (%d)!\n", ret); f4c8116e294b12c Guido Günther 2019-06-20 392 goto clock_disable; f4c8116e294b12c Guido Günther 2019-06-20 393 } f4c8116e294b12c Guido Günther 2019-06-20 394 phy_write(phy, PWR_ON, DPHY_PD_DPHY); f4c8116e294b12c Guido Günther 2019-06-20 395 f4c8116e294b12c Guido Günther 2019-06-20 396 return 0; f4c8116e294b12c Guido Günther 2019-06-20 397 clock_disable: f4c8116e294b12c Guido Günther 2019-06-20 398 clk_disable_unprepare(priv->phy_ref_clk); f4c8116e294b12c Guido Günther 2019-06-20 399 return ret; f4c8116e294b12c Guido Günther 2019-06-20 400 } f4c8116e294b12c Guido Günther 2019-06-20 401 f4c8116e294b12c Guido Günther 2019-06-20 402 static int mixel_dphy_power_off(struct phy *phy) f4c8116e294b12c Guido Günther 2019-06-20 403 { f4c8116e294b12c Guido Günther 2019-06-20 404 struct mixel_dphy_priv *priv = phy_get_drvdata(phy); f4c8116e294b12c Guido Günther 2019-06-20 405 f4c8116e294b12c Guido Günther 2019-06-20 406 phy_write(phy, PWR_OFF, DPHY_PD_PLL); f4c8116e294b12c Guido Günther 2019-06-20 407 phy_write(phy, PWR_OFF, DPHY_PD_DPHY); f4c8116e294b12c Guido Günther 2019-06-20 408 f4c8116e294b12c Guido Günther 2019-06-20 409 clk_disable_unprepare(priv->phy_ref_clk); f4c8116e294b12c Guido Günther 2019-06-20 410 f4c8116e294b12c Guido Günther 2019-06-20 411 return 0; f4c8116e294b12c Guido Günther 2019-06-20 412 } f4c8116e294b12c Guido Günther 2019-06-20 413 f4c8116e294b12c Guido Günther 2019-06-20 414 static const struct phy_ops mixel_dphy_phy_ops = { f4c8116e294b12c Guido Günther 2019-06-20 415 .init = mixel_dphy_init, f4c8116e294b12c Guido Günther 2019-06-20 416 .exit = mixel_dphy_exit, f4c8116e294b12c Guido Günther 2019-06-20 417 .power_on = mixel_dphy_power_on, f4c8116e294b12c Guido Günther 2019-06-20 418 .power_off = mixel_dphy_power_off, f4c8116e294b12c Guido Günther 2019-06-20 419 .configure = mixel_dphy_configure, f4c8116e294b12c Guido Günther 2019-06-20 420 .validate = mixel_dphy_validate, f4c8116e294b12c Guido Günther 2019-06-20 421 .owner = THIS_MODULE, f4c8116e294b12c Guido Günther 2019-06-20 422 }; f4c8116e294b12c Guido Günther 2019-06-20 423 f4c8116e294b12c Guido Günther 2019-06-20 424 static const struct of_device_id mixel_dphy_of_match[] = { f4c8116e294b12c Guido Günther 2019-06-20 425 { .compatible = "fsl,imx8mq-mipi-dphy", f4c8116e294b12c Guido Günther 2019-06-20 426 .data = &mixel_dphy_devdata[MIXEL_IMX8MQ] }, f4c8116e294b12c Guido Günther 2019-06-20 427 { /* sentinel */ }, f4c8116e294b12c Guido Günther 2019-06-20 428 }; f4c8116e294b12c Guido Günther 2019-06-20 429 MODULE_DEVICE_TABLE(of, mixel_dphy_of_match); f4c8116e294b12c Guido Günther 2019-06-20 430 f4c8116e294b12c Guido Günther 2019-06-20 431 static int mixel_dphy_probe(struct platform_device *pdev) f4c8116e294b12c Guido Günther 2019-06-20 432 { f4c8116e294b12c Guido Günther 2019-06-20 433 struct device *dev = &pdev->dev; f4c8116e294b12c Guido Günther 2019-06-20 434 struct device_node *np = dev->of_node; f4c8116e294b12c Guido Günther 2019-06-20 435 struct phy_provider *phy_provider; f4c8116e294b12c Guido Günther 2019-06-20 436 struct mixel_dphy_priv *priv; f4c8116e294b12c Guido Günther 2019-06-20 437 struct resource *res; f4c8116e294b12c Guido Günther 2019-06-20 438 struct phy *phy; f4c8116e294b12c Guido Günther 2019-06-20 439 void __iomem *base; f4c8116e294b12c Guido Günther 2019-06-20 440 f4c8116e294b12c Guido Günther 2019-06-20 441 if (!np) f4c8116e294b12c Guido Günther 2019-06-20 442 return -ENODEV; f4c8116e294b12c Guido Günther 2019-06-20 443 f4c8116e294b12c Guido Günther 2019-06-20 444 priv = devm_kzalloc(dev, sizeof(*priv), GFP_KERNEL); f4c8116e294b12c Guido Günther 2019-06-20 445 if (!priv) f4c8116e294b12c Guido Günther 2019-06-20 446 return -ENOMEM; f4c8116e294b12c Guido Günther 2019-06-20 447 f4c8116e294b12c Guido Günther 2019-06-20 448 priv->devdata = of_device_get_match_data(&pdev->dev); f4c8116e294b12c Guido Günther 2019-06-20 449 if (!priv->devdata) f4c8116e294b12c Guido Günther 2019-06-20 450 return -EINVAL; f4c8116e294b12c Guido Günther 2019-06-20 451 f4c8116e294b12c Guido Günther 2019-06-20 452 res = platform_get_resource(pdev, IORESOURCE_MEM, 0); f4c8116e294b12c Guido Günther 2019-06-20 453 base = devm_ioremap_resource(dev, res); f4c8116e294b12c Guido Günther 2019-06-20 454 if (IS_ERR(base)) f4c8116e294b12c Guido Günther 2019-06-20 455 return PTR_ERR(base); f4c8116e294b12c Guido Günther 2019-06-20 456 f4c8116e294b12c Guido Günther 2019-06-20 457 priv->regmap = devm_regmap_init_mmio(&pdev->dev, base, f4c8116e294b12c Guido Günther 2019-06-20 458 &mixel_dphy_regmap_config); f4c8116e294b12c Guido Günther 2019-06-20 459 if (IS_ERR(priv->regmap)) { f4c8116e294b12c Guido Günther 2019-06-20 460 dev_err(dev, "Couldn't create the DPHY regmap\n"); f4c8116e294b12c Guido Günther 2019-06-20 461 return PTR_ERR(priv->regmap); f4c8116e294b12c Guido Günther 2019-06-20 462 } f4c8116e294b12c Guido Günther 2019-06-20 463 f4c8116e294b12c Guido Günther 2019-06-20 464 priv->phy_ref_clk = devm_clk_get(&pdev->dev, "phy_ref"); f4c8116e294b12c Guido Günther 2019-06-20 465 if (IS_ERR(priv->phy_ref_clk)) { f4c8116e294b12c Guido Günther 2019-06-20 466 dev_err(dev, "No phy_ref clock found\n"); f4c8116e294b12c Guido Günther 2019-06-20 467 return PTR_ERR(priv->phy_ref_clk); f4c8116e294b12c Guido Günther 2019-06-20 468 } f4c8116e294b12c Guido Günther 2019-06-20 469 dev_dbg(dev, "phy_ref clock rate: %lu\n", f4c8116e294b12c Guido Günther 2019-06-20 470 clk_get_rate(priv->phy_ref_clk)); f4c8116e294b12c Guido Günther 2019-06-20 471 f4c8116e294b12c Guido Günther 2019-06-20 472 dev_set_drvdata(dev, priv); f4c8116e294b12c Guido Günther 2019-06-20 473 f4c8116e294b12c Guido Günther 2019-06-20 474 phy = devm_phy_create(dev, np, &mixel_dphy_phy_ops); f4c8116e294b12c Guido Günther 2019-06-20 475 if (IS_ERR(phy)) { f4c8116e294b12c Guido Günther 2019-06-20 476 dev_err(dev, "Failed to create phy %ld\n", PTR_ERR(phy)); f4c8116e294b12c Guido Günther 2019-06-20 477 return PTR_ERR(phy); f4c8116e294b12c Guido Günther 2019-06-20 478 } f4c8116e294b12c Guido Günther 2019-06-20 479 phy_set_drvdata(phy, priv); f4c8116e294b12c Guido Günther 2019-06-20 480 f4c8116e294b12c Guido Günther 2019-06-20 481 phy_provider = devm_of_phy_provider_register(dev, of_phy_simple_xlate); f4c8116e294b12c Guido Günther 2019-06-20 482 f4c8116e294b12c Guido Günther 2019-06-20 483 return PTR_ERR_OR_ZERO(phy_provider); f4c8116e294b12c Guido Günther 2019-06-20 484 } f4c8116e294b12c Guido Günther 2019-06-20 485 f4c8116e294b12c Guido Günther 2019-06-20 486 static struct platform_driver mixel_dphy_driver = { f4c8116e294b12c Guido Günther 2019-06-20 487 .probe = mixel_dphy_probe, f4c8116e294b12c Guido Günther 2019-06-20 488 .driver = { f4c8116e294b12c Guido Günther 2019-06-20 489 .name = "mixel-mipi-dphy", f4c8116e294b12c Guido Günther 2019-06-20 490 .of_match_table = mixel_dphy_of_match, f4c8116e294b12c Guido Günther 2019-06-20 491 } f4c8116e294b12c Guido Günther 2019-06-20 492 }; f4c8116e294b12c Guido Günther 2019-06-20 493 module_platform_driver(mixel_dphy_driver); f4c8116e294b12c Guido Günther 2019-06-20 494 f4c8116e294b12c Guido Günther 2019-06-20 495 MODULE_AUTHOR("NXP Semiconductor"); f4c8116e294b12c Guido Günther 2019-06-20 496 MODULE_DESCRIPTION("Mixel MIPI-DSI PHY driver"); f4c8116e294b12c Guido Günther 2019-06-20 497 MODULE_LICENSE("GPL"); :::::: The code at line 155 was first introduced by commit :::::: f4c8116e294b12c360b724173f4b79f232573fb1 phy: Add driver for mixel mipi dphy found on NXP's i.MX8 SoCs :::::: TO: Guido Günther :::::: CC: Kishon Vijay Abraham I --- 0-DAY kernel test infrastructure Open Source Technology Center https://lists.01.org/pipermail/kbuild-all Intel Corporation --yzndhqamitwpq62i Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICAVVKl0AAy5jb25maWcAjFxJk+M2sr77VyjGl5mD3SKpreZFHUASlGBxawKUVHVBaMrq dsXU0lGl8nT/+5cARTIBgrIdHe7ml4ktkUAuAPTzTz9PyMf59fl4fnw4Pj39mHw9vZzejufT 75Mvj0+n/5vExSQvxITGTPwKzOnjy8f3T8e358n8V//X6WR7ens5PU2i15cvj18/oODj68tP P/8Ef34G8Pkb1PH27wnw//KkSv7y9eXjdPzP4y9fHx4m/1xH0b8my19nv06BPyryhK1lFEnG JVBuf7QQfMgdrTgr8tvldDaddrwpydcdaYqq2BAuCc/kuhBFX9GFsCdVLjNyF1JZ5yxngpGU 3dMYMRY5F1UdiaLiPcqqz3JfVNseCWuWxoJlVNKDIGFKJS8qAXQ9/rUW5dPk/XT++NaPULUo ab6TpFrLlGVM3AZ+33JWMqhHUC76djaUxLSywC2tcpq6aWkRkbQVzD/+YfRXcpIKBG7IjraV re9ZiZpFlPQ+I27K4X6sRDFGmA1GcWkYlMaAVauTx/fJy+tZiXFAP9xfo0IPrpNnmHwhxjQh dSrkpuAiJxm9/cc/X15fTv/q5MX3BMmI3/EdK6MBoP6ORNrjZcHZQWafa1pTNzooElUF5zKj WVHdSSIEiTY9seY0ZWH/TWpYoq3qgapO3j/+8/7j/Xx67lVvTXNasUhrclkVIeoIJvFNsR+n yJTuaOqm0yShkWAw1yRJYI3xrZsvY+uKCKWcSEOqGEgc5Csrymkeu4tGG6yiComLjLDcxDjL XExyw2hFqmhzN6w840xxjhKc7WhakWU1Hkgewwq+NGjUqEokRRXRWIpNBQuX5WukOSWpOHX3 QbdPw3qdcL1MTi+/T16/WPPslDToMrv0qULaAjKA/bOItryooUMyJoIMm9V7207pJUkdU64r AG3IBbeqVvusYNFWhlVB4ojgzclR2mDTGiwen09v7y4l1tUWOQVdRJXmhdzcq+0z00rVrXUA S2itiFnkWOxNKQaywWUaNKnTdKwImm223ih91aKqjMkZDKFb9BWlWSmgqtxot8V3RVrnglR3 zt3rwuXoWls+KqB4K8iorD+J4/t/J2fozuQIXXs/H8/vk+PDw+vHy/nx5aslWiggSaTraNSz a3nHKmGR1WQ6eqI0T+uOURG2BTzawCogu7Wp7yGP1c4UUdj4oKwYp8hd0BMF7DRcEKyGCoIl k5I7qyJNODgwVji7W3JmfHQWImZc2fwYz/nfkHa3u4MgGS/Sdh/Us1VF9YQ7dB5mVgKt7wh8 gNMBqo1GwQ0OXcaClJiG9YDk0rRfO4iSU5gkTtdRmDK8hBUtIXlRY9+lB8FEkOTWW5gULuzF o5soolDJAkvRlILpvYQs95G5ZdvmH7fPNqK1BTM2nhLvOdNCVZqAVWOJuPWWGFezk5EDpvv9 OmO52IIflVC7jsDe5Bo911tdO8f84Y/T7x/gGE++nI7nj7fTez/RNfi0WaknCpn6Bgxr2C5h r2yW97wXl6PCTsnWVVGXaFmUZE2bGrA5AB8jWluflqPTY+C8tnpv0LbwF1qv6fbSOnJo9Lfc V0zQkETbAUVLq0cTwirppEQJ2AwwansWC+QUwf7kZm/QksV8AFYx9mwvYAKL5x5LCPSBU7y/ KO1SFV4ogxpiumMRHcDAbW49bddolQzAsBxi2gtAa76Ith3JsOHKeQWXAjZMpEmgPjkOacBR xd8wksoA1ADxd06F8Q1ijrZlAetBGUGIl9CIL1t8LQpLDcCfgOmLKdiriAg8TzZF7nw0uWoz NxUMhKzjqQrVob9JBvU0rg0KdarYinAACAHwDcQMdQDAEY6mF9Y3imcgxixKMH0QUCpXT89r UWUkjwxTb7Nx+IfDjtoRgTadNYu9BZIDVhLbJFi82htUk4xEvqZCOepy4OU1k+GCoU9DPGmc TDu26fwiY/O0v2WeIStraDhNE9iwsGKFBNxk5Z6hxmtBD9YnKC+qpSyMQbB1TtIEqY3uJwa0 Z4oBvjE2OMKQGoDvUFeG20DiHeO0FRMSAFQSkqpieBK2iuUu40NEGjLuUC0CtSBUsGXownBi FPgbE1DTntxxiW28UgXtzOBxdg5831OoNI+sWYBYBTlueluyMChO4xivb62/aklIO2rQIHRH 7jLoPDbcZeRNZ63tvOSbytPbl9e35+PLw2lC/zy9gIdFwBZGyscCn7u3p862mr46Wuws6t9s pq1wlzVttIYVtcXTOhzs2Qq72FO9xvCUqAwQERAQbfF+wVMSuvYHqMlkK9xsRDVYgem/OK+4 M0BT5k55eLKCNVxkY1QVo4NfY6yJOkkg2tVuhRYjASNgDVX5UhDbqjybsY0ImmmbpVJ4LGGR lREAC5uw1FhUsLFHVJsbI9IyM229HuPVWmVap7myWUYYryjgAmhVsEL5lqRhGB5sGhlM8+0K DULyuiyLCgwrKUENYIcdJDZA50WU2atA+Q+N39va1gIaUlWB44mtpQBPSQ+8baqnKbcSrOWQ 0PBDaJWkZM2H9G6NKydqjZtLYM+mpErv4FsaG17r0m72FIJeV0APEgorsNtN3NUz3EOgKw03 S7ffSa7WySiOO/HZnAVYElCg3IC8VRQ6bNtYYOW6ycbqTBW/9S9+tw4nJuLHt1O/QVjzDY1k IH1Z5SrSgK5loCqra3RyQIFOw6CMbAlqoAw/Xp2aSkNOPG/qDO0bhvImOBzG6UlRiLBi8ZqO 84CbdqUG0DLvahPAEPh/QQ+u0Q/l7Fr9cbG70vktXy1u5uP0/c30cDO9IsG0jKD7V9ovD+6s siZWZTRO1HN3pWkeRP71oZMdyyM2zlCAenmYrHU3+3g6P357Ok2+PR3PyiYB6en0YBy3lDVY prfT5Mvx+fHph8EwUE+5W9hq38BLN7xoKN2Oe60/RnlztWqIRGqDtDOGJC1ZTm2wEiVFvkBG OtDuP+ElNWJS0oFyPbeZxY1nxFAwsRmZx74LDFxg55FET68P/31//XgDH+H3t8c/IQp3SV5k NDUSriXDchmQRRRaBkP1GQI47CcjnIOZTXFArbM5CrMG25fhme2ZaXgT+NnBRdBbrY7KjJZ6 BnUEUcgytQMWTWQ+bJb1wSx7kaehdp2MbfGUmTWLoTaqzYGHMqeT49vDH49nkP3p9wl/jd4t zQd+ycysUIdHd+u8tnVSETaV70BzXjrQeTD1Dp2rWuTk7/QoK0KW2oqvCP7KOxxcuLdYzFx4 MJ9PHXjTgEx9MNAQko9z8MwlmY5YtiMrzn+AlpN2aGYGqy3nrwJ71TUimi8DB74IhmOtooyL 0EZplWL3Ta/zBpTh2h8lRPbe0JM+W01EOYfeHCx+hc786c7uUMzWLCrSwj5doYe7vMCRwFwn hWSW2FJuOG2pNKg90Q06b6ei+bRUS62gS50+1gjlh19q9T2E9/yzmee78LlRD8YXbnzmrn8O AnTiqynCG0x3l9ewg+OjQOVXqe2B18bRWQM0W0Tj7B2f3z9evqpLCM+vL5PXb2o/fm9tZfgK xrXH2lqCCDzqvfYaZQ1mRmqneWq3AiHLGk8sFFuXsPPpbBtib3HljG9NfqUIG57hBWfA3gju O/C9kbZvYea7KknCIaZshzrtHqHwQqyHpH3s4M8JnqoWrUQ0nClFIPEIgcXUqGYBLpUm8JJN 3UVwfI/xLb0rSeymlfvMaEZ5bibYTOu4tmmGVuXK1//Btvh8fDl+PT2fXs6mYgFzk3dI1ZFK Fm+d9el86jgF/l/nW5UsvF3MbKY92VLzyL2jxDo9q7PU/amBe4WYESIEehAhoiovsD4T06Pe PL4/Pj0+QA2dK3g2IqxLieD79++Dasqp58DsPW/DDvON9s26zo+1avquB+w6HiIp7pmFgDdu Ic0khQWpbO+IZQdJciIK+4qMIqzxHZAOzbLYBfPKNgGqZVYo/2hnuZvAH3hDqLMAitwJ4f3f KLIlut7AI/jQA6Nyn3leEEi68xwMKUvTOycuqAWXUTYNlk5QUnw20lUiA2enFKxloXYSnZEJ Q1dx8EnMCdKUzwVepZdYISMxDKSYH6YWaXufKbLnyak9fCOZoBE9CzIWSOrzcanrCZKx3XMl kVkwHM7cIY1dWfnaJurm4tOfjxBfnN9Op8nry9OP/ord2/n0/RfSd8X0AqAj84Hu2KZcQUMN mw/1cDFEPg8hnrowF3gYYrXFl3Jv6nukC7Yu4/10+Uc2Ob7/eH4+nd8eHybPOiZ9e304vb8/ wsY2LpMlhDBkOWh9GQ+hOi1NcJcssG+za3LIKke1TouQpM1Bwi2+NtGwQMDX0Fz3JWBTaLKC l7SRTHDY6yCn9BCR/CoLT5UB92UdX61K2zgwk/waEyC+afOdPIZ/4eZg/tXeKMS22W4uju9l uFmUD3eVBzxM81BjyKN2I7qJrraleAxfzs1iukduHsNZcrPsvWscPIbOSqr+UtSrrCUzWZrk XS6oup03CGh6gsQJYwSzrIw9JyVSlmbxW2JH2JjFXWckwsDO9USjfVR6LIpBHimjMSOCIj+m 2XuFvxxs/plYzFc3DvDGDi4zsVz4g/1cgcPiK8+3DaQCBzFyRnlhR7caW7jAlV2apSEltZ1x a2GZedOdTevj+uz49ufp6WlSHoi3WH268aafgOpP2PO3J+3RHq2AqTGWVbHPLaFrQgLh9cB4 kwqCq1T+pjJzlU2Exhqr2ecZ/2aX2nr0tZ0MH+irGEatX5lBPOOjI1tgA0eM2EmlbAcOoN0x hYHvN3CHNL6zJ1Y7HUQGS9vl6AjzEcLKTjq0hJsRwqG0cJVat0aUl5E9SAUtBwmXojl3au5f son6bKODpL+p1KSAFO0CTnib78QHr8Wewj6x422tTlxd4ec486FBHapcsqEWKfC3nYFFeKCy WwS8Z06juqIQ+Ozo8EKKk5NW6p6OVC2y+HYWoPubIyIw5K17dTmEsmT3iWSfYvhTkUmiU/JW TKR47Nyi7qIDQyGRckIUZDl2JAuMFFqDzQYYdMc9836Z1ra41T5bAZDTSMj+1gIepf8p+DSb 8G+nh8cv4IQlgyttZgNS3JUsIpabp04iNQuYLxwbtbSKklTfJ+1vrvVqqA89zFO9Vkb+IN/U oIEDDQaoYGYGVoukJNFW36ELQ6MX6enr8eHHpGzD0fh4Pk7C1+Pb7/aJY6s2vhSwOS2mnr1N 6N7MvSXdZS4KdCGPi4pYtLzYMiLz1aC6niD3TJ1Xusk4QGraUXMhib4F3t4ENpfG2NzjauaS VpU65F9NvZV346hluE5M1TnkxI6OisPKt1PdoFbFIaI40miO5Ji+WdfED7ya8McqmRzPT8f3 xadvb4/PR8Y+EfW5/EstJgK2q8HBkwLtY7OyAknjZB10wrzpDBYNXNiyv42qy32OioFB4tnq sLBHq9AbN7q08ydZnN0sPNvTqGL7PF6tsh2je0vYLSwpcu4R2ETR2EkbEJdjxJusvFIss61b x1GGf0Vd2g4TopLVOM3eGiuwQuabk/agV2Ule1RnqxWIM9kdaKTDLwnNMp2uHDBYInWBNTZi Dpy2VDdnU/MGhlkWQqzRegdpYUxzpV0bUhJKfxaW5Rh9kGYdDqbNlbo58F09k6QcuHJju14q g2rKunGL8r0p7MZPYzlzwGrWHXBTtTFjFwQGIqPN9BbfuDJInuvyVcuhsm03UzPbZhJ9Ryou j2w132S2V83BrRafnaDtaTao7bHu1R3LiqzX0iWPNgFvhxV8Xu6MgySt7jZ42Vpyyokd/YGR Tco12oYuwNixT0mJHfBpzAsGp3UXfDiYBp/ZHeSCGd1QwGbmzV3gwgFObQvARRbYAaPGstKb D5jr/MBs5jqfObC5A1s4sKUDWzmwG+bqi8yiy4kvJgmSrwsbU4G4hdU5Kzds8N6sXs3xgq0P 8Nn4pKGL0mTidQ7HN+rZ0UMJzr2tTS2sUjrq+i+Zg325eB9jrHHEI/tAtyPy0k7TdyQR+cZ9 BK2f6sEtEfqw3Hj1YdQgzKE2N5qy1XJwdA/gagDeW978/cG/WSyndhhxf5d/tjpXVOblSoWB DzI2hNZjKpt86uub5cXqO52/4YvvDRCaCL5m1HxvLWBpfotNnYUyIqW6wGeSAv/PxRDZDiCr RhJWAvbVhRO1eEsN27wX1OLVlykGvBfUzctKYeFFeTeoQqShG7MqbR65s9iqssSbVotc7jla U+pyc7NmCrBCXSBKTVA/B6QH7Ibsy8sNWGvqQvgb9nOGM9b6NoLGZEhXJs4agV1e2DlpGQNT pi6Fqif5pIK40BJECCs1ZpFw1wJ2IBI4OdDcbZZhRXLtv+uyOL+41Y9jNjQtjQveu5ijLVHd Fm76V+0ThBc1RK32/RsE6gv2qIcaC2HeKjKAE6qeQRa5TP0rpOaVldiAZNbohVSmHMcmD9wU SX0c+F3HYMtDqbEyBfPaRnfz1SpY3IwQl/7yBmulSZwHNzhTZhIXNzPvxu6LIHVV8MHoXWYn k6nXilg90ZOLq9TlNertEtPUVGc0U6//QOymhunb5pTs7mRmW5fu0rNrTTQmT180h8DDfM/F 9lmGF5vabFsxzZYz32z9Qgj8hTcNnKSZcjinblIwvVm6Sy1mwRJPCCIt/elyNUKazwLf3UNN Wro7v5iBdXOXgn4sRtpamreMMOlm5a28kVLBdKSHUCbw53I192djHL431uTKny9G5LWaw7pw 90a3dYXknhtdoXFNAl957/axijChs6CRekzd/MRJcyvqQ71W//bt9e1sWoROECCAKa4fl8Dv c4aGpXn0jT1K+0PCtlWX5sC06SvKIi3WKABsvCvj7pRGOA6Mmpun6pQrqh1o47uameSOKPb4 akGEHT31JT/XRHl3NTce0aoFnTIh8EYQpmAUGZgpg7EHZVxn2Z1kSZ8H2mW8hGpkYL6971D1 cNB5fbxl8ddXyd7a9dMBKqVZJIm6IzT9Hk2b/1pqXulXrrfdlaNNIcq0XpvvV/Q7DR7Z8QQU 1pG/P511DynUzyuwA437y3KAeFMjsgbEH7lqr0jzUVIwXmo+ToLWpw7BbO5vvV4QjZ5tKvVD CdbAtS/CYobP1ygJkTQK+Lo877JEpDy5TZHS9sdesiKmg0vUOq2Y5HIH5gFfTQKDbjzTUUBp O2B83/4gSYntzWbvfiHXBCAkF5dL36nc1GsKTqg5aOhnrR5/pbis/oET/XZePfspwKuq0Nv5 7hGVuhWJVnvd7EYS1sVGv40r8YKnkZIbsn2kIubLghYZ/9kJ/Y6qrApBlV+nxt0+s7ef/fV5 7PG7oupGR4Ee3lp3QtETZtUz2IX070n1DP/P2bs1uY0j66J/pWIedszEXr1bJHWh9ol+gEhK osVbEZTE8guj2q7urhjb5V2uXtM+v/4gAV6QiaTcZ69Y0y59H27ENQEkMt/vyrJRa7yabECE Xrj4Tm1wF3ZtiwrORFSKcUPaATIHlOldDtlFeZylhZIVdSYqt6wEuwi/oIdK89FUaVUpmJHS B7CeW5+S1tZi0VVErDJEtZBHmATtif/clN17eNwaxzVabeyWGN+8GLXL3Fa7HLn969P/+fPp y4fvd98+PH5Ctk5gVOxr+7nqgHSH8gLmluoOv+u3aWosYyTBCgkDDzZDIO7ck3A2LFyXwm0m O22xUWCbojWR/36UUnUrVZ7478dQHNyc6ufHfz+Wnj7PTcrZ1UHVi6uIDTFUzLRwIn6shRl+ +OQZ2v6+mSDjx/wyWdq5+412uP5N0DfU8UzFNCjhHtPHMHFyIWN4UBxo2yGsfXE0BghPPD2J JjwvoyrlGfswbCZt80xD3+mzAQYlYp7VWoSzFFtbeunpNXr4mNN180Cj6oRbtjHl4xXHVTNS tVMS78NclQzn4WzS/fH3DBnlc2nCsfRMpGYmjj6N5ePoQ1l/MRMPSM9f3ojqheuZuKkb676s U7sFbWNIzAw8jpX04ydyoJjGzmEPmFLrH9QmXVynF3T4MgaBAQnrBTHZMpFK5DjPUE1iH+A0 hoB5PBl3RurTxyLfxXRE9zsVXHp32Ll8lFVy43ktz9rjxmXhiJdn9DE7T+mXeCwzvblxuUHN yWLHNmZrBsv/SRLLqcaVYFa5RrP6zmAjzrquW2L/6eXxTWv3vjx/ebt7+vznJ2R0VLzdfXp6 /KbkhC9PE3v3+U8F/frUP818+jg13r5KuuKq/mtJsAOE1KvgNxixQkEv+wr9+G9rZ66EX0tC 6I1Ogmg/MOPnzn4U+66EebhEr8t6wDVjNBDylFbkzPGYqlmvgNf7YD4ELpmlS+I7BJicYmPa ocGWRoHKkqTCgQHB+36FgrjnhoXHLEQBzEZ7w6nW1gyxB9t+SI6SILY4oADxBQSNmKHAqipz GTl8CokQ6zKofWBczqB6dwJW0zx/Eu2R2YjPViboqSw8NuptHZidnFUz1/tery7Z79Mohd2V Y+fDjc+0EA1h73D0TYhVlxD08NCRvWp/xml6WVVKmToHo+y7vv6hz9jL7LjjOJkdCWZqeH79 /J/H15kJWq+9sP0rozLDBTKUrsDexqcrHI0xGYqNuU/r/CrqBDazSAPSli+GQFM0LZpIW2Fz QDr7OnkE4/JawAbOTCxOq6v1WkZc6dV2VMLudA8XJ4I8yJ/OzvIoinBlwQlPt78yoH6hhs65 onwJEmtxQWq2AyxVqey3dmV5UOvDWCWUAEV9vTnWtj2mL+lpODNQH1HepMZEnDCXytoK6OcF 9rFhD3RVPEgEzdPvr493vw1dzkj5lsFGkKK69GJVnoF2VV7ZXXomnXFloH0aDSTVh5B9a/27 k0fhAW9tLzBh1p9ZFu2ZRs6/xa3WcxmuPJ+lRCJv4d1OzlJMGQ5HOEaYIaM6arxFnO5vBAhm Py86CvX/SpZmK604VmX24AWLFeHBAuruoRJgwFkU4qDGxXTCm9bNGWyOk/X0Aq9wwXaLFVRD MpIpxS5g+Y+ANIwxIN1fsmbJQUQPQ/8dTCY9Wq/4f/r49FX1O1bEMAc1+LGMPt8hWGmsNln1 qCeTEZ4iU5s/7855pWT4nb2agBSuliN41dHJJNtjK+dl1dBEHEtCOvdpWTwX+gAS7BLq80ay AOkXh+dUbTcK1QOR/ctTnTi5GavmPDoXnCm0xgvXsIe+ogBDTseypAY39EVzWTTp4Vzaatuj 3dG8MvK2MTTtBtAkGMUzanbMifS+VHLd/mEwo+gGOCnxgVpfHElQqDen2exn6VL1p6Ld9Zg2 CbYwq0MF/i5t4Fqioxc7dXJQkwEIsnCq2zemEqVoHWKDdOba2zFecrx2O1UcY8eScPp4HHLj cK0RYEqAjzCnD+V69XS6DvY3jdXvwVg/TgKfWVszvVGLJ0faBXqDMxeXRFJNUDrWmaFnJW1j LBe4xptnDEGTUD82Aq1klm7Q4ovAAtzEm6sFqUckWICsnQqECtCMNlkHT+KZ6kfGuuis0MKL TDI8mFjjrVWUgR0z2BkpAcV+sVuCV4X00Au1gUMYi0cTvF7u+segVpOaY3bT5TFl1OArSENk w0ayvjqPONwQrkA4jc+mBuUYLrUbFI3e3wBx0TlqjK4tuan1ExmFq5O97ijEtids6Wz7iuOp zCEqLz/9+vjt6ePdv83NzdfXl9+e8Tk/BOo/hSmHZvu1CZva1Iw+hG66ZYeMX93Kd9xMZecD +BtQy7CSoP/x+//8n9jhBjg1MWHsyRuB/TdGd18//fn7s70YT+E60LUqwJ+IGsb2lZkVBAYI vX6yaC3ny4q9EEO5U2uLPxAdxlZVXQHMsdornjZfKsHu5nTF1Y94OgX094mwx3Goc8HCJgZD 9pOssR2J48g66lnoBsz9xBAuPTj5ybS/9WQZ1KssHORtriCG8v0le69CQq3WfyNUEP6dtJSk fvOzYbwcf/nHtz8evX8QFuYurJBLCMe/C+WxIxeyBmhL9pmSgWwxZYeVa8EaNIjJahK5PyMp cbATvZMHFkReVCaj0rBdSxvG3jTcascuDJp2TYPNlboc2BfC/HCvqsWAGnPXHfmO3tB3Wuph Hz04wbv8nmYPGmX2u2sb5T5GKuG4rMR4rVU9vr4960NUUCOyn3gO537jCZo1wapdQWGdDM4R XXSG7dE8nySybOfpNJLzpIj3N1h9bNOgC2oSok5llNqZpy33SaXcs1+aq4WfJRpRpxyRi4iF ZVxKjgCXGHEqT0S8hNcubSfPOyYK+JtQn9W14ZpL8axi6kMrJtkszrkoAFP7xgf285QcUvM1 KM9sXznBrSZHJHs2A3C9tA45xhpkIzWdKpIObg+G/L6rbI2mHgMB2H7MBPBkeywtJ5cM9mv5 ezVwjQZRrGTODJm7tMjTw84+LRjg3d66i1M/umEuIL4OgCK+AiZ/Qahk00DGmqZCFh7qE4Wu PKk2e3pVdR41TCaQGyWJR12d228ItS12HVmNqfJa2LNcfZVJPkdqwW+Gm242jB28v54+/Pn2 +OunJ+237k6b7X6zKn+XFvu8gQ2DVVMj1u3jyt59KAgfa8Avvccb9Rkg1uBRhKYooxpU/fHT Tm1t2fD7zFYS+RGooh8u4HXjonUk9f6ND6i2Gw7xnk1Xrfc1nP5yXK6mPeugS315v7kdu9Fc bRsrEk+fX16/Wxd37mESZIt01nTpCzjBBo1qdFzem/VIKm2OHne+3jWa7UVnmAq0qmPV6C6E dRf7SDuwVo5mUwOYjRjZsHEY48Ms0gcyHTFgv1ObFls2PEnry4fupLecOYjjoPS0XGxHm9JR lqjFFb8c2Ks9e4PPpyLkxUTNm2RSHiF7TQRQdQQhJ9X29zjZ91VpX5e8352tc/L3wR663PRb 9qb0p1us3hK3+roKiUZDUKIwNZxOaQvkam6rE9QZzKEV6Kq6JxP7WoCzMnLaoXY1+r4JO4c6 gHMVJUAdc1GjDc985x2iFrZOGLhDUYXAsi+ACcHkaWc054b9hx4qxdPbf15e/w03v84YATsD 9kmw+a0WZmH5OoL1Gv/Cd0cawVGaTKIfjqOadm/bzYdfcAKH91IaFdmhnJLSkHYtgiFtQmKP FLE0ruQTOH5MbSFWE2ZckQKZk2DZIHnPpF9p1dDPdu2fkgcHYNKNK+0+B7n1sUBScSlq+bQy ainYtZ1Cx9vkWj/vQdw+3amOmya0Ow6JgY6LHi+Y0yn1IYTt7mjk1NZ1V8qEYbSBFVtfWDFV UdHfXXyMXBBuyly0FnVFhkCVkhZIqwMsmEl+binRNecCDmPc8FwSjP9AqK3+44gW5shwgW/V cJXmMu/st9cTaFtxeIDloTyliaQVcGlSXPxzzH/pvjw7wFQrdrGAFEfcAbvENhkxIOMAxQwd GhrUg4YWTDMs6I6BrokqDoYPZuBaXDkYINU/4PTZmgAgafXngdlRjtQutdaXEY3OPH5VWVzL Mmaoo/qLg+UM/rDLBINfkoOQDF5cGBBc8+Dr65HKuEwvSVEy8ENid4wRTjMlqJcpV5o44r8q ig8MuttZ0/ggotRQFkdwGeL88o/Xpy8v/7CTyuMVOi5To2RtdQP1q58k4bnlHofrpy8lkZaE MH6zYCnoYmQlUHWrtTNg1u6IWc8PmbU7ZiDLPK1owVO7L5iosyNr7aKQBJoyNCLTxkW6NfJu BmihtueRlpebhyohJJsXml01guahAeEj35g5oYjnHRzQUdidiEfwBwm6867JJzmsu+zal5Dh lDAXoWmZHGAoBN4Kw2uSXuyzZuGq6Y2RpfsHN0p1fNB3MmrdzrEcq0Ls0wwt9CPEzGLGcYsV 6/No2PQJxEG1nXp7enX8qTspc0JnT8GHp4WlGjBRe5GnSqw2heDi9gHoAo9TNv5UmeQH3vi4 vhEgKw+36FJaz+EK8P5WFMaGuo1qL51GAKCwSghU6JksICnjP5PNoCMdw6bcbmOzcJAqZzh4 8rKfI+nTK0QO6oLzrO6RM7zu/yTpxuiCqfUgqnjmYJ972ISMmpkoaunHVqFRMQS8sxAzFb5v qhnmGPjBDJXW0QwziYs8r3rCLi21E0w+gCzyuQJV1WxZpSiSOSqdi9Q4394wg9eGx/4wQxvj BLeG1iE7K7EZd6hC4AQLOHJy2wxgWmLAaGMARj8aMOdzAQQzC3XiFgh8x6tppBYxO08pQVz1 vPYBpdcvJi6k33ExMN7RTXg/fViMquJzDhoOn20MzYJ7OIMrr65coUP2ZgsJWBRGjRnBeHIE wA0DtYMRXZEYIu3qCviAlbt3IHshjM7fGiobQXN8l9AaMJipWPKt+mEiwvR9Iq7AdOcATGL6 hAIhZsdOvkySz2qcLtPwHSk+V+4SogLP4ftrzOOq9C5uuok5FqPfZnHcKG7HLq6FhlYfwX67 +/Dy+dfnL08f7z6/wMn+N05gaBuztrGp6q54gzbjB+X59vj6+9PbXFaNqA+wez3HKSspTEG0 0rA85z8INUhmt0Pd/gor1LCW3w74g6LHMqpuhzhmP+B/XAg48TRmCm4Ggxc1twPwItcU4EZR 8ETCxC3AhfAP6qLY/7AIxX5WcrQClVQUZALBQV8if1Dqce35Qb2MC9HNcCrDHwSgEw0XpkYH pVyQv9V11e47l/KHYdRWGpS1Kjq4Pz++ffjjxjwCpg7gnkLvPvlMTCDwTX2L7x3E3wzSm9m4 GUZtA5JiriGHMEWxe2iSuVqZQplt4w9DkVWZD3WjqaZAtzp0H6o63+S1NH8zQHL5cVXfmNBM gCQqbvPydnxY8X9cb/NS7BTkdvswdwJukFoUh9u9N60ut3tL5je3c8mS4tAcbwf5YX3AscZt /gd9zBy3gCuzW6GK/dy+fgyCRSqG13f0t0L0Nz43gxwf5MzufQpzan4491CR1Q1xe5XowyQi mxNOhhDRj+YevXO+GYDKr0wQeJD8wxD6XPQHobSf+ltBbq4efRBQUL4V4Bz4v9hPwW+dbw3J wJPUBJ2AmlcEov3FX60JuksbbaG+csKPDBo4mMSjoef0IyImwR7H4wxzt9IDbj5VYAvmq8dM 3W/Q1CyhEruZ5i3iFjf/iYpM8Q1vz2qn8bRJ7TlV/zT3At8xRrQXDKi2P0Yl3/MHt68Xeff2 +vjlG5ggAzXst5cPL5/uPr08frz79fHT45cPcLnuGDUzyZnDq4ZcfI7EOZ4hhFnpWG6WEEce 70/Vps/5Niho0eLWNa24qwtlkRPIhfYlRcrL3klp50YEzMkyPlJEOkjuhrF3LAYq7gdBVFeE PM7XhTxOnSG04uQ34uQmTlrESYt70OPXr5+ePxhLAX88ffrqxkVnV31p91HjNGnSH331af/v v3Gmv4ertFrom4wlOgwwq4KLm50Eg/fHWoCjw6vhWIZEMCcaLqpPXWYSx1cD+DCDRuFS1+fz kAjFnIAzhTbni0VewSOC1D16dE5pAcRnyaqtFJ5W9MDQ4P325sjjSAS2iboab3QYtmkySvDB x70pPlxDpHtoZWi0T0cxuE0sCkB38KQwdKM8fFpxyOZS7Pdt6VyiTEUOG1O3rmpxpZD23wMK +gRXfYtvVzHXQoqYPmVSlb0xePvR/d/rvze+p3G8xkNqHMdrbqjhZRGPYxRhHMcE7ccxThwP WMxxycxlOgxadDG+nhtY67mRZRHJOV0vZziYIGcoOMSYoY7ZDAHlNsq7MwHyuUJyncimmxlC 1m6KzClhz8zkMTs52Cw3O6z54bpmxtZ6bnCtmSnGzpefY+wQhdaJtkbYrQHEro/rYWmNk+jL 09vfGH4qYKGPFrtDLXZgZaWs7UL8KCF3WDq352qk9df6eUIvSXrCvSvRw6dPyoYj6yoTk4Pq wL5LdnSA9Zwi4Ab03LjRgGqcfoVI1LYWEy78LmAZkZf2VtJm7BXewtM5eM3i5HDEYvBmzCKc owGLkw2f/SUTxdxn1EmVPbBkPFdhULaOp9yl1C7eXILo5NzCyZn6bpibbKkUHw0a3bto0uAz o0kBd1GUxt/mhlGfUAeBfGZzNpLBDDwXp9nXUYee4CHGecEyW9TpQ3orrsfHD/9GL4GHhPk0 SSwrEj69gV9dvDvAzWlkmwswRK8VZ7REtUoSqMH9YnsMmgsHD0LZd5qzMeDBP+dxCMK7JZhj +4eodg8xOSKtTXjwbv/okD4hAKSFm7SyFTLB1IE22oj31RrHOYkmRz+UKGlPGwOivr5LI2Q4 VjEZ0sQAJK9KgZFd7a/DJYep5qZDCJ/xwq/xGQVGbcftGkhpvMQ+CkZz0QHNl7k7eTrDPz2A +9KiLLE6Ws/ChNZP9q7VBT0FSOu1yAB8JkAHJoDV7O/d8xRY/nRVsEiAG1Fhbk2KmA9xkFeq VD5Qs2VNZpm8OfHESb6/+QmKnyW2y82GJ++jmXKodtkGi4An5TvheYsVTyqhIM2QFSFoY9I6 E9YdLvZO3SJyRBj5aEqhl5fo44XMPgtSP3x79IjsZCdwAUvVWYLhtIrjivzskiKyX/e0vvXt magsZZDqWKJirtUuprIX7R5wnzgNRHGM3NAK1EroPANSJ75XtNljWfEE3hTZTF7u0gyJ1TYL dY6O5m3yHDO5HRQBZlqOcc0X53ArJkyeXEntVPnKsUPgnRkXggikaZIk0BNXSw7riqz/I2kr NXtB/dveYq2Q9NLEopzuodY5mqdZ58zTWS083P/59OeTWvt/7p/IIuGhD91Fu3snie7Y7Bhw LyMXRYvbAFZ1WrqovrZjcquJrocG5Z4pgtwz0ZvkPmPQ3d4Fo510waRhQjaC/4YDW9hYOneW Glf/Jkz1xHXN1M49n6M87XgiOpanxIXvuTqKtFFLB4aX1TwTCS5tLunjkam+KmViDzrebujs fGBqaTT1MwqOg8y4v2flykmkVN90M8Tw4TcDSZwNYZVgtS+1AV33DUn/Cb/84+tvz7+9dL89 fnv7R68X/+nx2zdwyetqwishkLzCUoBzKNzDTWSO/R1CT05LF99fXczcafZgD2hDYNaD2h51 HxjozOSlYoqg0DVTAjAV4qCMxoz5bqJpMyZBLuQ1ro+kwC4NYhINk3es49VydPol8Bkqoo8v e1wr27AMqkYLJ6cnE6E9ZHBEJIo0Zpm0kgkfBz3MHypERORRrwDddtBVIJ8AOJjzskV3owa/ cxPI09qZ/gCXIq8yJmGnaABS5TtTtIQqVpqEU9oYGj3t+OAR1bs0pa4y6aL4iGRAnV6nk+X0 ngzT6PdcXAnzkqmodM/UktFidt/4mgwwphLQiTul6Ql3pegJdr7QU3pqP0iLbeehcQGO6mWZ XdARm1rxhTaRw2HDn5a2uU1mgsVjZLVhwm1z3hac4/ezdkJUWqYcy8gHORMHTi7RhrNUG7yL cXY1fb4F4odpNnFpUY9DcZIisd1yXIZX3A5CThaM2RYuPCa4HaF+PoGT0yMFjXpA1M61xGFc yV6jargz74ML+/L8KKnko2sAv04ARYsAjt9BAQdR93VjxYdf4HCaIKoQpARgbXZKHoxqlUkO NnQ6c85v9bK6smqg3kttidMS11ubP153lmWB3kYN5KiHIUc4r9f13rTtdmf5oI2XWr3w3v5R 7bt3aYMB2dSJyB1DW5CkvhQzh83YNMPd29O3N2cjUJ0a/BgE9ul1WakNXpGSCwYnIULYxh/G ihJ5LWJdJ70Jrg//fnq7qx8/Pr+MSi62fXO0c4ZfaorIRSczcJBjfylY3B4D1mAyoD8CFu3/ 8ld3X/rCfnz67+cPT67vmvyU2gLpukKKq7vqPmmOePJ70IbK4Wlh3LL4kcFVEzlYUllL24PI 7Tq+WfixW9nTifqBL74A2NmnVQAcrkP1qF93sUnXsS4PIS9O6pfWgWTmQEjREYBIZBGotcAb Z3siBQ68d+DQ+yxxsznUDvROFO/BcW4RkBJpH+MIatLumEQRBttUTX84p8rIX6T0M5D2agTG NFkuIkWIos1mwUBdah/vTTCfeLpP4d99jOHcLWKViBOUIqFhVUXWLsKlCkd3i8WCBd1iDwRf 8CSXqjR5lAoOT/myz3xRhHvQ6SJgzLnhs9YFZbnHq5YFKtnRHhqySu+ev7w9vf72+OGJDI1j GnheSxohqvyVBicNUTeZMfmz3M0mH8KBowrg1pULyhhAnwwXJmRfTw6eRzvhorq2HfRs+hn6 QPIheCYAk4zGGI+0b6eYqWecGu3rQrj6TWLbgqRaKvcgyaBABuoaZNpSxS2SCidWgMmtqKP3 IQNltBcZNsobnNIxjQkgUQTkL7Vxz+50kBjHcY3BW2CXRPGRZ5DzGbjDHQVg4+vx059Pby8v b3/MroBwWV00ttAGFRKROm4wj64DoAKidNegDmOBxiEO9Y9iB9jZJp5sAm45WAIK5BAytjc/ Bj2LuuEwWKqRaGlRxyULF+UpdT5bM7tIVmwU0RwD5ws0kznl13BwTeuEZUwjcQxTexqHRmIL dVi3Lcvk9cWt1ij3F0HrtGylZnwX3TOdIG4yz+0YQeRg2TlRq1FM8cvRnsh3fTEp0Dmtbyrf Rq4pfosOUZuTE1FhTrcB/zRoq2HKVms/FpNDz7nhNoqyeyXt1/Y98oAQ7bgJLrS2WlYi3w4D S/a0dXtCtt/33ckeyTMbBlCrq7GpauiGGbLHMSBwC2KhiX5sa/dZDWGnqBqStjXvPpDtXDja H+BGw+oq5ubE006rwBuQGxaWlyQrwdL3VdSFWsclEyhKwO+Dkha1EdyyOHOBwMyy+kQwDA3e NOrkEO+YYGC+f7AjD0G0Kw8mnPq+WkxB4C375EzMylT9SLLsnCkp7JgiuxkoEDiPbbWCQM3W Qn8kzUV3bSSO9VLHYjB1ytBX1NIIhrssFClLd6TxBsQ4llGxqlkuQkeuhGxOKUeSjt9fh1n5 D4g2U1pHblAFgn1KGBMZz46mLP9OqF/+8fn5y7e316dP3R9v/3AC5ok8MvGxHDDCTpvZ6cjB XCTaT+G4xD3kSBalsX3LUL3Jvbma7fIsnydl49jnnBqgmaXKaDfLpTvpqOCMZDVP5VV2g1OL wjx7vOaODzzUgtrD4O0QkZyvCR3gRtGbOJsnTbv2hje4rgFt0L+katU09j6ZXBFcU3hz9hn9 7BPMYAadXILU+1Nq36OY36Sf9mBaVLYpnx49VPQIelvR34O9aQpTE68itY7j4RcXAiKTY4d0 T7YvSXXUSnkOAjo7autAkx1YmO7RMfh09rRHTzVA5+uQws0+AgtbdOkBsADtgljiAPRI48pj nEXTed7j693++enTx7vo5fPnP78M733+qYL+q5c/7BfvKoGm3m+2m4UgyaY5BmBq9+y9P4B7 e8/TA13qk0qoitVyyUBsyCBgINxwE+wkkKdRXWpfNzzMxEBy44C4GRrUaQ8Ns4m6LSob31P/ 0pruUTcVcJPmNLfG5sIyvaitmP5mQCaVYH+tixULcnluV/qe3zrt/Vv9b0ik4u4I0XWYawlv QPSt3HQrBX7gsPXoQ11qMcq2Twx2si8iS2NwANvmKbkP1XwuseE7ECf1DmEEtWlmbDF6L9Ks RDdixvnSdERvNHdnDle11+J8Z23NjB9FcbTETeORy7bTb1zAIIj+cN2oWuBgiBqT8gHsd2YI TGD472wZ+Vg2oKGhY0AAHFzYs2IP9LsW+3A1VVUU1REJKpEz2x5x/NZOuKMRMnLa+4VU9caq dOBgIPT+rcBJrR0mFRGnlay/qcpJdXRxRT6yqxrykd3uitshl6kDaHdjvRNWxME+5URb2akx /dAf7JEnhX4bBYcwpPGb8w61UKfvlCiIDDsDoDbp+HtGDf78jLtSl5YXDKgtHwEEug6zuhrf /6JZRh6rcXFUv+8+vHx5e3359Onp1T300lUMTsBxYYSo44tRdDFHtY8fn76oQay4Jyu9b+77 a92qkYgTZBjfRrXnrBkqQc4IfpgrSsPcZHTFlVT9vlH/hVUcoXquIf0EbgDU7OCTwum7AhTS uOAkJqpHgptghuLh4C0EZSB3GFyCTiZ5StJM9enCZxdj7h8scgceBTiCZguO05SMLVjQLaT+ +uZ4LmK4iUjyG6wzdFQ1q4UoOqbVDNxhN6iYS2gs/R6hSU4kAqjpXpJ09IAUP317/v3LFdzw wkjRtiwk26XjK8khvnIdWaGkLF1ci03bcpibwEA436PSrZBHDxudKYimaGmS9qEoyTyW5u2a RJdVImovoOWGM56mpH12QJnvGSlajkw8qIUnEhVJ65g6fRBOHGkPVGtRLLrw5OBNlUT0Y3qU q6aBcir8lNZkuUl02dS6sMMlVnvZkoY8F2l1TLU8ML1FutXXRo9F/Hw9zuXJl49fX56/4N4J foCJh1Mb7Qy2p6uXWuQaowSOsh+zGDP99p/ntw9//HAdkddeiQVcb5FE55OYUsBH3vSe1PzW 3gK7KLVP8VQ0I6X1Bf7pw+Prx7tfX58//m7v+x5A33xKT//sSmuyN4iaucsjBZuUIjBLK6E8 cUKW8pjaQm0Vrzf+dso3Df3FFj2y2HpdtLc/FL4IXoAZH8nWuYKoUnRE3wNdI9ON77m4NiY+ WJYNFpTuBaK67ZpW73Wlk5f2RpwUB3RSNnLkzH1M9pxTbd2BA78shQvnkHsXmcML3Yz149fn j+DUynQcp8NZn77atExGlexaBofw65APr9d+h6lbzQR2l54p3eR/+/lDv+G5K6n7l7NxeNrb QvvOwp32BjKdk6uKafLKHsEDopa0M3qr2IB53wzP0bVJe5/WufYBB46wx8cRoyN6MK1j20fZ X/Voszd4I6T3g7FKyNqPmpP+IROr9FMs7VaZfjlLq91llu2QP6wpnOWVcmwS+hlDLO2NGTQJ LHdXw9DLQDmM5+ZQfZVfp+gEbLzgrxNJUX03bSKojUhe2lpbmhPmJNWE0P7Dp+oefCZph8xq 22Joe2vfof1pnRyQUyXzuxPR1nrN1oNwskEDyizNIUEaVtret0csT52AV8+B8tzWABwyr+/d BKPI2nDBvNO7MlOdbI+qW1F7vV0wRjW/0+oyfsjLqszKw4PdR2aGplEQ+PObe1YIZxSRvc/q geVi4cj/FmVms6a275DrKFeiQ3dIQQ+gtl9F5213TVJLxNEbsi5HTVvqaoMTbwUUyK62psqo 8pGRx3utWrdLbSc3KZw8qZ16hxpZnovVAnbOPu5NCm/Vrsg+FDQnNAe75RtzeGJNZL3sA3CT kLwuSWvcwprf1uCWGeikmAJM18hWo4zrvfn+0pqWDoWtuwi/QKshtc+gNZg3J56Qab3nmfOu dYi8idEPPZAlhmy/lIQq9xwq6g0Hq73aWonhM9RyY1HEp+vXx9dvWMVTxTE33qrPqem7QRrP UIS95PLp4zR1i3EYnpVqNiaKGrbgYeoWZawlaH992vXfT95sAqpL6RMctaGzvT47weCwuywy NOTd+tDVdFZ/3uXGqPadUEEbMDX3yRzcZo/fnYrbZSc1wdMW0CV3IbUxtdbMBhtmJ7+62tpZ ppiv9zGOLuU+tqQqmWNa966yIqXUbv9oixpnqWp2NdrlgzBQi/znusx/3n96/KbE9T+evzKq wtC99ylO8l0SJxFZvgBXczJd1fr4+lmB8WwvcasCqTapxlvh5Fi6Z3ZKfnloEv1ZvPPrPmA2 E5AEOyRlnjT1Ay4DzKk7UZy6axo3x867yfo32eVNNryd7/omHfhuzaUeg3HhlgxGSoOcxI2B QAELPdwaWzSPJZ0bAVdCqXDRc5OSvluLnAAlAcROmmfbkyg+32ON09LHr19BE78HwaOpCfX4 Qa0qtFuXsEK2g1NL0i/Bfm3ujCUDDn4QuAjw/XXzy+KvcKH/jwuSJcUvLAGtrRv7F5+jyz2f Jbi8V7tHWwPTpg8J+JKe4Sq169HOShEto5W/iGLy+UXSaIIsiHK1WhBMCR9iQ+ouSimAN/0T 1gm1IX5Qmx3SJrozdpdaTRg1iZeJpsavCX7UF3SHkU+ffvsJDioetecFldT8ownIJo9WK49k rbEOVFdsL+MWRXUbFAOemvcZ8pyB4O5ap8YhJHJkhcM4Azb3V1VIWiKPjpUfnPzVmiwUcOin FhXSKFI2/oqM1F72kEyBZeYM4+roQOp/FFO/ldTeiMzobNiecns2qYVMDOv5ISoPLLu+EczM ye7zt3//VH75KYLmnbuC1HVXRoeAfAHo6KVKJLV1f41Fd0Xlv3hLF21+WU797MddCA0htWM3 qoN4IS8SYFiw7wWmS5Apuw8x3EKw0WHL4POUFLkS/A8z8Wj3Ggi/hVX+UNun+uO3JVEEh4NH kecpTZkJoHpgRMQ8ce3curCj7vTz5v7k6D8/K1nv8dOnp093EObuN7M0TBdIuAfodGL1HVnK ZGAId6qyybhhOFWPis8awXBM/Y94/y1zVH9448aVQeQvvcU8w006iI+yk1TbZiZEIwrbI/AU 02wBGCYS+4SrlCZPuOBlndqvMkc8F/UlybgYMou6rIoCv225eDfZJk+5r4E9+kw36+e7gpnv TPnbQkgGP1R5Otd1Ycub7iOGuezXqjkKlstbDlVT/z6L6F7A9FFxSQu29zZtuy3ifc4lWJyj LV3BNfHu/XKznCPoSqMJNaSTAjx/RxHTtUx6HXqYhkh/tdNDYi7HGXIv2e/ShxoMDnc7q8WS YfT1E9MOzYmrUn2tzGTb5IHfqarmRr25QeI6D9tNrbtdI+E+f/uAZzTpGu+aGlb9Bym6jYy5 +WA6UCpPZaGvZm+RZpvHeMa8FTbWx7iLHwc9pgduVrTC7XYNsxzCQt6PP11ZWaXyvPsf5l// TgmXd5+NZ3hWutPB8Gffg6UDbk9rkuyKC5I5f5yhU1wqyfag1sFcaneVTWkrvgIvlPCWxB3q 9IAPmhX3ZxEjRTkgzYXmnkSBUzI2OKjQqX/3BDZ924kBJT/vXKC7Zl1zVO1+LNU6RqQ8HWCX 7Pon2/6CcmBmBp1xDwT4P+RyM2c00+FxY01C9uap3MPJZYOfnilQZJmKtJMIVMtAA65xEaiE 5+yBp07l7h0C4odC5GmEc+pHg42hg/JSa/ii3zm6DyzBprNM1JIJk0qOQvaKuwgD7b1MWJsJ fXKeq6HWDJp3cFaEXzgMwGcCdPZjngGjR6dTWGJvwyK0TlrKc86tcE+JNgw327VLqH3C0k2p KHVxp9P57ITtK/SAWgZV8+9sc3eU6czTCKPnl9oXAlGMji9U3mk8vsqvBglVYXd/PP/+x0+f nv5b/XRv1nW0roppSuoDGGzvQo0LHdhijE42HG+DfTzR2LYRenBX2WegFrh2UPxktQdjaZuy 6MF92vgcGDhggrxPWmAUonY3MOk7OtXaNsU2gtXVAU/IEf0ANraz7x4sC/tEZALXbj8CfREp QZ5Iq15cHU8y36v9FHNyOUQ957ZNtQHNStteoI3C+x3zbmJ65jDw+o1RyceN653V0+DXfKcf h4cdZQBlG7ogOgawwL6k3prjnBMCPdjAfEcUX+wX/Dbc3yTK6esxfSXa1AIUROBaFpl37S3K oElhwjqJbKyMZeaqo5a6uc0rhkueuKpngJKjgbGCL8hPEwQ03sBAc+A7wvdip2Q7SUKjZxsA ILO/BtHW3VmQdDObcRMe8Pk4Ju9Jp96ujVHIde9nZVJIJQqBO6Iguyx8q5JFvPJXbRdXZcOC WLXfJpAUozesqnjIkHV8zvMHvTZPY/woisae7s3BZJ4qed2eIOQBlIEja6PRpPuctLGG1HbT OlZU7bcNfLlceLRs0jZGqWS9rJRneL6pxABtcGDkjlWXZpa0oG+Io1JtDtEOW8MgZuHXuVUs t+HCF7YJsVRmvtolBhSxJ7+hiRrFrFYMsTt6yCjIgOsct/bT6mMerYOVtS7E0luH9jqhnczZ etsgjKWgWBxVwXDnPOWEjqukPmFsbcMa42013HDviVr5qF7XIEuqvRqyjPeJvf8ETay6kdbX VJdKFPaCEvm9XKU7fJKoLUbuKlgbXLW9b/WhCVw5YJYchO2Yr4dz0a7DjRt8G0TtmkHbdunC adx04fZYJfaH9VySeAu9oR5HNfmk8bt3Gzh8QiPAYPQx2gSq/Y485+Ploa6x5umvx293Kbw9 /fPz05e3b3ff/nh8ffpouRH79Pzl6e6jmkqev8KfU62CFgO6Vvq/SIyblPBkghg8/xiVatmI Kht6QPrlTQlmapegdo+vT58e31TuU3cgQUBTwpxvD5yM0j0DX8oKo0NfV/KBUdUgKR9fvr2R NCYyAlVLJt/Z8C9KyIS7lpfXO/mmPukuf/zy+PsTVPHdP6NS5v+yjunHAjOFtRZfrVne+z6c fJDcqL2xp0bHkoxRkamOSE57h7E7B6N3c0exE4XoBDKHgFavKaTaYaX2a357f/Dp6fHbk5L6 nu7ilw+6C2rlhJ+fPz7B//7Xq2oVuL8Cr2Y/P3/57eXu5YuW4vUOwlojQfRsldjTYcsBABtT VBKDSuqpGAkGKKk4HPhgu3rTvzsmzI00bbFklDeT7JQWLg7BGTFKw+Or7aSu0eGIFaoRtvcQ XQFCnmA5to2o6A0SvIaYjMdAtcI9oZLBhz70869//v7b8192RY8SvfP2wiqD1mvb73+x3udY qTMPaqy46MXPgJf7/a4EzWmHcW6Axihq3lzb+sKkfGw+IonW6DR9JLLUW7WBS0R5vF4yEZo6 BetmTAS5QjfJNh4w+LFqgjWzpXqnn74yHUhGnr9gEqrSlClO2oTexmdx32O+V+NMOoUMN0tv xWQbR/5C1WlXZky3HtkiuTKfcrmemKEjU63axRBZ6EfIBcHERNtFwtVjU+dKmHPxSypUYi3X GdSuex0tFrN9a+j3sEsa7kSdLg9kh8zG1iKFSaSpbbXFSKb4V2cysJHevCdByfDWhelLcff2 /evT3T/Vsv7v/7p7e/z69F93UfyTElv+5Q5JaW80j7XBGqaGaw5TM1YRl7YVkyGJA5Osfceh v2GU9Ake6XcDyICKxrPycEB2MjQqtfVBUEFGldEMQs430ir6qNltB7W3Y+FU/5djpJCzuNpr ScFHoO0LqF7+kfkvQ9XVmMN0M0++jlTR1RiBmNYCjaONsYG01qGxlkuqvz3sAhOIYZYssyta f5ZoVd2W9oBOfBJ06FLBtVNjstWDhSR0rGxDhRpSobdoCA+oW/UCv8wxmIiYfEQabVCiPQBr AfhQrXszeJbB8SEEHFyDon4mHrpc/rKy9KSGIEbyN69WrFMaxOZqRf/FiQmWg4x9C3h4i307 9cXe0mJvf1js7Y+Lvb1Z7O2NYm//VrG3S1JsAOi+yXSB1AwX2jN6GMu2Zga+uME1xqZvGBCo soQWNL+cc5q6vidUI4jCoCdf07lOJe3bl2JqS6uXBLU0grne7w5hnzNPoEizXdkyDN0jjwRT A0roYFEfvl9bnDkg9SQ71i3eN6lavsGgZXJ4oXifsr7AFH/ey2NER6EBmRZVRBdfIzWh8aSO 5UivY9QIDMDc4Iek50Pgu/QRdl/rjpR+D+rCO+n0bzgMqGizPNQ7F7L9e6U7+7hS/7RnW/zL NAk6tBmhfiDv6bob523gbT3aRvveoAGLMq1ziBsqAaSVs9wWKTImNIACGbExIlBFF4Q0p02T vtdPqytbCXkiJDyeipqaLrtNQhcV+ZCvgihUE5M/y8C+o78ABRUwvVf15sL25sgaofau0/0A CQVDTYdYL+dCoKdJfZ3SuUch9J3RiOPHYRq+V3KW6gxqfNMav88EOhpvohwwH62XFsjOspDI sPyPM8W9Gj6sJrwi9jN+B0HcqfbR3LwSR8F29Redm6HitpslgQtZBbRhr/HG29J+YD6I9MOc kyOqPDSbCFzi3R6qcK7M1JSWkbqOSSbTkhvIg7g3XCpbp7tG4/govJVvn9ga3Bm6PV6kxTtB tiU9ZXqFA5uuuHIGp23jtge6OhZ02lHoUY3DqwsnORNWZGfhyMJkDzbEMbf/cAE2zub2tZgl cqgg6OjFKrmOrkeIMSJiWfD4z/PbH6oRv/wk9/u7L49vz//9NJlPtvYckIRA9r80pN2tJaoH 58aXy8MkO41RmHVIw9h1oYbiPPTWBLM3chpI85YgUXIRBEJaYQbR1lVI2lgJTWNEc0xjxj4I xu5LdF+tP7fX5segQiJvbfdfUzX6dTlTpzLN7CsFDU0HVdBOH2gDfvjz29vL5zs1d3ONV8Vq UxjbpkZ0PvcSvd0zebck511uHxYohC+ADmY914QOh85ydOpKLnEROHQhBwYDQyfeAb9wBCim wRsN2kMvBCgoAHchqUwIig3TDw3jIJIilytBzhlt4EtKm+KSNmq9nc6c/24964kBqUsbJI8p UgsJxvz3Dt7YsprBGtVyLliFa/t9v0bpyaIByenhCAYsuKbgQ4V9smlUSRo1gfZNGicLjyZK DyNH0Ck9gK1fcGjAgribagJNRgYhp5ITSEM6x6MadTStNVokTcSgsNIFPkXpOadG1TDDQ9Kg SlpHU4NZa/SRp1NhMJGgI1KNgh8VtH80aBwRhB769uCRIqA2V1/L+kSTVONvHToJpDTYYPmD oPSwu3KGokauabErJzXVKi1/evny6TsdjmQM6oGwwNsF05pMnZv2oR9SVg2N7CrZ2XIAib6f Y+r32G+GqTbzusTMCMhcxm+Pnz79+vjh33c/3316+v3xA6OQa5Y6cqmhk3X278x1iD055WrL nxaJPbbzWB+cLRzEcxE30BK9pYotVRwb1dsWVMwuys76/e2I7YwSEvlN16Qe7Y+AnROZ8SYt 189FmpTR0IqtBosdU4M65t4Wp4cw/RPnXBTikNQd/EDnyiScdjDoWmmG9FNQo06R7nusbQ2q wdWAwZIYiZqKO4P96bSyXe8pVOuuIUQWopLHEoPNMdVvkS+p2hAU6CUSJIKrfUA6md8jVOuY u4GTGpcUPATaYo6C1B5AWziRlYhwZLwDUsD7pMY1z/QnG+1sx6+IkA1pQdD3RciZBDGGaFBL 7TOBnPIpCB6gNRzU7W0NGmgL4iOurwldjxLBoDJ1cJJ9D8/UJ6RXGCMKU2rbnJLX+IDt1S7B 7sOAVXiHBhC0irWagZraTvdaov+mk7Tmnv56gISyUXPqb4ldu8oJvz9LpEJpfmPNkR6zMx+C 2WeRPcacMvYMeiLUY8gb34CNt0XmAjxJkjsv2C7v/rl/fn26qv/9y72326d1ot12fKZIV6L9 xgir6vAZGDkEn9BSQs+YNDxuFWqIbUxi9553hmk3tW0DJ9RvA6zDeHYAdb/pZ3J/VrLve+p+ dW91+5T6bG4SW8t1QPQxl9qQliLWjhxnAtTluYhrteUtZkOIIi5nMxBRk6pdqOrR1L/sFAYs K+1EBk93rPVJRNg7KACN/QI+rbT/+SywlUgqHEn9RnGI/0fq8/FgOxlSGUpbjw7k0bKQJTGg 3GPuswrFYWeC2smfQuCetKnVH8iUebNzbKjDw0S7O5rfYDGNvkPumdplkCNGVBeK6S66C9al lMhh0oXTOkZFKTLqyrK71NZWSzu9REFA9kpyeOk/YaKOUKrmd6eEZs8FFysXRN72eiyyP3LA yny7+OuvOdyep4eUUzWtc+GVQG9v9QiB5WFK2hpHosl701u2TxkA8ZAHCN0CA6B6scCawl1S uACVrAYYrAcqGau23xsNnIahj3nr6w02vEUub5H+LFnfzLS+lWl9K9PazbRII7CMgWusB/Vj N9VdUzaKZtO42WxApQWF0Ki/8nGqA8o1xsjVEWgzZTMsX6BUkIwcpxeAqu1RonpfgsMOqE7a uTlFIRq4DAYjNdN1B+JNngubO5LcjsnMJ6iZs7R8/qV7S8fV2YNplxKNLaJpRL8D1D5JGfyh QM4KFXy0JTCNjIf3g/WGt9fnX/8Epc3exqJ4/fDH89vTh7c/XznnbStbIWul9W4HO30Iz7Xh So6A9/UcIWux4wlwnEYcasdSwFvxTu59lyDPHwZUFE163x2UnMywebNBB1gjfgnDZL1YcxQc 7+gnsSf5nnN47IbaLjebvxGEuFpARUHXWA7VHbJSiRc+XohxkMo2LjHQ4FATqa0Rgo91H4nw 5MYBS/BNcsImTsYEcxlBY2wD+0EDxxKvEFwI/C5zCNKft6qFOdoEXH2RAHx900DW+ctkbPhv DqBRpgXvvuhxqfsFRl+tC4g5Zn2TFUQr+15wQkPL9G3zUB1LR2IxqYpYVI29c+wBbTtpjzYV dqxDYkvuSeMFXsuHzESkd+721VqWRqWUM+Gza1oUtnSoXep2SS6imRhNguxBRgnSFDC/uzJP 1QqcHtQmy56Hjf5/I2e+Mxfv7bQRZfu5y+PQA6drtuhYgfyDTmv7+8o8QoK4ityp3WriIl0c 7XDm5GZqhLqLz3+A2jOpac46xhb3TTrXF2zvGOqHrnOy4x9ga1sGgUYj8my60MlLJOllSE7I PPwrwT/Re42ZbnauS9tevvndFbswXCzYGGb3Zw+pne04SP0wHhnAdWiSIcOfPQcVc4u3Tw1z aCRbRbVobae5qMPqThrQ393xisyeah1FnKCat2rk8GJ3QC2lfxIvBAZjVIe0EVH84FzlQX45 GQIGrt+TGhTkYXNLSNSjNUK+CzcRmFGwwwu2LR2/F+qbrIMA+KVlsONVzWq26ohm0K7FbKKy NomFGllzc04kLuk5ZwvdKz7Y6sVGE6KxPY2PWOcdmKABE3TJYbg+LVzrXTDEZe8mg/yS2Z+S 1jVyVSnD7V+2R279m9FUSCp4t4ZnQ5SujKwKwtO1HU71vrSwRrW5b58WzakkLXi2QOepW3QJ Yn73TowGe7zHhw4fUsR4mz+VJE7w2YbaRGYpsk/tewv7ZrQHlNyQTbsDE+kz+tnlV2ui6CGk H2WwAj3kmTDVp5W8qKYIgd+D9/daXbjEteAtrHlHpbLy166mTZvWET3WGmoCq/XHmW/fwJ+L GJ9kDQj5JitB8NmT2N6AEx/PlPq3M/sZVP3DYIGD6fO12oHl6eEorie+XO+xUxTzuysq2V/B 5HBTksz1mL2olSRlmfjYN2oyQfqA++ZAITuBOknAN5Y1itHLVrBitUdG/QGp7okACaCexwh+ SEWB7tghYFwJ4eNhO8FK4Id7L/uoH0iogYiBOnummdBbqUBfBq8JepJGt1Z2vZzfpY1EfpOM dlh+eeeFvHRwKMuDXZGHCy/ugdIryKZWRzum7eoY+x1eCrT29j4hWLVY4so7pl7QeibulGIh SVsoBP2A3cYeI7ifKSTAv7pjlNnPjzSG1oYplN0w9sdbnf1YzXXL41lck5RtmTT0V7bjGpvC vsMTlHqC76v1T/u94GGHftCpQEH2F6UtCo8Fa/3TScAVtQ2UVtKe9zVIs1KAE26Jir9c0MQF SkTx6Lc9fe5zb3Gyv97qb+9yvhMPCiWTkHNZL53lOL/gPpjDaTjofw0PJgjDhLShyr5Pqlrh rUOcnzzZ3RN+OepegIGYLG0vM2qKtnVc1S8az/70QZ8dkQMKfhv4GlPVJYrSthibtWoo2xcw BsANqUFiQBQgau9xCDY4OZssZmftSjO8Pe2sldeb9P7K6OTaH5ZGyGv0SYbh0qpO+G1fLJjf KuXMxt6rSK0rJVt5lGSpLCI/fGcfkQ2IuX2mpnQV2/pLRVsxVINsVK+dzxK7ZctlpLb4UZLB 8zBy8e1y/S8+8QfbQyD88hZ2190nIiv4chWiwaUagCmwDIPQ52dW9WdSIxlO+vYIvbR2MeDX 4OoEtOTxATpOti6L0vYSWeyRJ92qE1XV79pQII2LnT79x8T8ELQPuQutSfu3xKUw2CJHgUYR vMUXZNTWWA/0pjOs0vgnoppl0quiueyLSxrbhyR6nxDP7V/KE3KVduzQGqNizcwzlYhOSdO7 dbLdmwolIByt8j4k4CFnT++d+2R6pfYx+n0mAnQKfJ/hAwXzm+7VexTNaD1GFsh7JFqokrRq JsQ52Joi92APkeSVxPxiBVf62jrZFDQSGyQP9AA+kx1A7CTZ+F5BUlmdz7U5aCyOudbrxZIf lv3Z9RQ09IKtfSUJv5uydICusnc8A6hvH5tr2jt/IGzo+VuManXpun/vaJU39NbbmfIW8GzP mkWOeCWuxYXf7sMZnl2o/jcXdDBWPWWiBaa5ASOT5J6dLWSZiXqfCfswGdvFBAfXTYzYLo9i eKdeYJR0uTGg+wAbfIdDtytwPgbD2dllTeHUdkol2vqLwOO/F0kwqUQWfdVvb8v3NbjKsCLm 0dZzN+cajmxXdkmV4m2kDmJHhYQZZDmzFClBCXQqWvs9qZrM0TUjACoK1RIZk2j0Km0l0OSw C8VCocFkku2NKx8a2j2XjK+Aw6uA+1Li1AzlKKoaWK1B2NS1gdPqPlzYJyAGzqpI7SUd2H0v OeDSTZqYpjagmaGa433pUO4RusFVY+yrg3BgW314gHL7uqEH8ZuXEQxTpx3mRDwV2l6squoh T2zjoka7ZfodCXhMaKeVnvmEH4qyAl3y6TxJNWyb4c32hM2WsEmOZ9tXZP+bDWoHSwcr3WTV sAi8TWrAAbSSyuHsUNqidU+QkHaX7gFsYKOxXLXCEUh1g4J+Y9+aNegWyfrEiy3KqB9dfUzt W6MRIqdygKutoxr8tp6BlfA1fY/uKs3v7rpCs8+IBhodNyw9vjvL3gkVu62xQqWFG84NJYoH vkTuLW7/GdQldG86DrpABoasPxNCtLR/9ESWqZ42d0fQH6JSoRZg335BvI9je3wmezTvwE/6 YPZky+9qxkBeAksR12d9gfrZxdS2qlYSeU187Binohd09KBB5EPOIKDxi91fj/i5SFFlGCJt dgJ5n+gT7vJzy6PzmfQ8MdBuU3oq7g6eL+YCqLqsk5ny9ArcWdImNQnB5MmdAmoC6SloJC9b JKkaEDameYqMwgOuL7wJRi5+1fyjD+IxYL+5v4Ky4djEmZLJmzo9wMsBQxjrnml6p37OOtqR dk+DW2mswdhfLhPU7Mp2BG3CRdBibPSxR0BtOoSC4YYBu+jhUKimc3AYh7RKhhtfHDpKI3Bp jTFz8YRBWBCc2HEFG3rfBZso9Dwm7DJkwPUGg/u0TUhdp1GV0Q81pk7bq3jAeAamOxpv4XkR IdoGA/1ZIQ96iwMhzNhqaXh9yuRiRoVpBm48hoHDEgwX+lpLkNTBIH4Deki0S9y7KQy6RwTU myQCDl7tEarVizDSJN7CfjEJKiSqw6URSXBQGEJgv3Qc1NDz6wNSie8r8iTD7XaFHumhe8Oq wj+6nYRuTUC1cijhOcHgPs3QvhOwvKpIKD0J4ns+BZeiyVG4EkVrcP5l5hOkN3eFIO2DF2k1 SvSpMjtGmBt9ENueLjShTbYQTKvYw1/rYcYDq5o/fXv++HR3lrvR+BgIGE9PH58+atOOwBRP b/95ef33nfj4+PXt6dV9dKECGc2wXo35s01Ewr4TA+QkrmizAliVHIQ8k6h1k4Weba93An0M whEp2qQAqP6HDjyGYsKs7G3aOWLbeZtQuGwUR/q2nWW6xJb6baKIGMJcHc3zQOS7lGHifLu2 teIHXNbbzWLB4iGLq7G8WdEqG5gtyxyytb9gaqaAGTZkMoF5eufCeSQ3YcCEr5WUa4yp8VUi zzupTw3xtYwbBHPgHytfrW1PlRou/I2/wNjO2P3E4epczQDnFqNJpVYAPwxDDJ8i39uSRKFs 78W5pv1bl7kN/cBbdM6IAPIksjxlKvxezezXq73lAeYoSzeoWhhXXks6DFRUdSyd0ZFWR6cc Mk3qWr/CxvglW3P9KjpufQ4X95HnWcW4ohMkeFyVgZXra2wJ4xBmUs7M0dGj+h36HlKWOzqK xigB2yY9BHZ05I/m+kBb1JaYACto/cMe4yEegOPfCBcltbHOjY7dVNDVCRV9dWLKszKPVu1V yqBIo64PCI7co6NQW5sMF2p76o5XlJlCaE3ZKFMSxe2aqExa8IzS+2IZt6maZzamfd729D9C Jo+9U9K+BLJSe91aZHY2kaizrbdZ8DmtTxnKRv3uJDqv6EE0I/WY+8GAOg+Ge1w1cm9+Z2Lq 1coHPQRr764mS2/B7utVOt6Cq7FrVARre+btAbe2cM/OE/xixPZvpzU3KWTulDAqms06Wi2I LWk7I05P1H7zsAyMRqVNd1LuMKD2l4nUATvtlkzzY93gEGz1TUFUXM43ieLn9VWDH+irBqbb fKdfhe8wdDoOcHzoDi5UuFBWudiRFEPtUyVGjte6IOnTR/fLgNohGKFbdTKFuFUzfSinYD3u Fq8n5gqJjYpYxSAVO4XWPabShwhaGdbuE1YoYOe6zpTHjWBgATIX0Sy5JyQzWIhmp0jrEr37 s8MSnaC0uvroGLEH4KInbWz7VgNBahhgnybgzyUABFgyKRvbqdnAGBtB0Rm5PR7I+5IBSWGy dJfanozMb6fIV9pxFbLcrlcICLZLAPT25fk/n+Dn3c/wF4S8i59+/fP338G7cvkVDNXbFuiv fF/EuJ5hxwcsfycDK50r8krXA2SwKDS+5ChUTn7rWGWlt2vqP+dM1Ci+5nfwVrvfwqIlaggA Lp3UVqnKh83e7brRcdyqmeC95Ag4JrWWyenpz2w90V5fg2Wp6UKllOhpsvkNz+/zK7oYJURX XJAflZ6u7BcSA2Zfm/SYPSzVBi9PnN/aSIidgUGNeY79tYOXNGpkWYcEWesk1eSxgxVKlkoy B4apmmKlaukyKvHyXK2WjiwHmBMIK4EoAN0I9MBo1tK4SbE+R/G4J+sKWS35+czRu1NjXgnC 9h3ggOCSjigW3SbYLvSIuhOOwVX1HRkYjLBAz2FSGqjZJMcAptiTBhqMiKTltdOuWchKe3aN Oep6uRLHFp51WQiA46NbQbhdNITqFJC/Fj5+2jCATEjGbyvAZwqQcvzl8xF9JxxJaRGQEN4q 4buV2hCYk7ixauvGbxfcjgBFo2or+ggpRBdyBtowKSkGth6x1Xd14K1vXxH1kHShmEAbPxAu tKMRwzBx06KQ2gHTtKBcZwThdakH8HwwgKg3DCAZCkMmTmv3X8LhZu+Y2sc6ELpt27OLdOcC NrP2oWbdXMPQDql+kqFgMPJVAKlK8ncJSUujkYM6nzqCc3uv2va0p350SE2llszyCSCe3gDB Va+dKNhPQew8bWsN0RVbljO/TXCcCWLsadRO2tYIuGaev0InNvCbxjUYyglAtInNsALJNcNN Z37ThA2GE9Yn8aMmjLHNxVbR+4fY1vuCQ6j3MTYnAr89r766CO0GdsL6mi8p7JdY902xR/ee PaBlMGfTXYuHSDqokmxXduFU9HChCgPP9LhTYHNQekVaD2C+oOsHuxb5rs+5aO/AJtGnp2/f 7navL48ff31UEprjw/Cagrmm1F8uFrld3RNKDgVsxmjeGq8V4SQD/jD3MTH7IPAYZ/Y7EvUL 23YZEPK4BFCz4cLYviYAujDSSGv7q1NNpgaJfLDPEEXRorOTYLFAOo57UePbnFhGtnNFeOKt MH+98n0SCPLDpilGuENGWVRBbcWIDJRxRDs5Ec1EtSOXE+q74JrJ2lskSQKdSolyzkWNxe3F Kcl2LCWacF3vffvknmOZHcMUKldBlu+WfBJR5CP7qSh11ANtJt5vfFuV305QqCVyJi9N3S5r VKP7Dosi4/KSg362/VD5eC5isEmdNfjovNC2nVBkGNB7kWYlMu+Ryth+mKN+gUUjZLNECezE LPwYTP8HVeXI5GkcZwneT+U6t8/op+qLFYUyr9R3knp++QzQ3R+Prx//88gZRDFRjvuI+qwz qL5aZXAskmpUXPJ9nTbvKa4dzu9FS3GQ0YukdL7oul7byqIGVNX/zm6hviBoIuqTrYSLSfvl YHGxX0Jf8q5CPnoHZFxheteEX/98m/VElRbV2ZoJ9E8j83/G2H4PDtczZEDYMPCyFxkUM7Cs 1MyVnHJkTE0zuWjqtO0ZXcbzt6fXTzB7j0a2v5Eidnl5lgmTzYB3lRT2JRphZVQnSdG1v3gL f3k7zMMvm3WIg7wrH5iskwsLIhcABhRVXulHH5/tNolNm8S0Z5s4p+SBuL0bEDUnWR3FQits HxoztohLmC3HNCfbo/OI3zfeYsVlAsSGJ3xvzRFRVskN0pUeKf34GZQX1+GKobMTXzjzHJ4h sE4ZgnX/TbjUmkisl7bZfJsJlx5XoaZvc0XOw8APZoiAI9QSvAlWXNvktow3oVXt2T4PR0IW F9lV1xpZOB1ZZGh7RIvk2tgz3ESUuYjTE1cp2L7/iJdVUoC4zZW5aoW/+Ysj8hQ8mHBFG55E MM1ZZvE+hWcYYOCVy0825VVcBVcPUg85cBfHkeeC73EqMx2LTTC39X3stJZpl9X8KFbVWy25 WBUy5mx1xUANYK6emtzvmvIcHfl2b67ZchFw47KdGfqgN9YlXKHVyg8qYgyzs/VPpq7anHQL s5O5JTfATzWx24vqAHVCzR5M0G73EHMwPOVS/1YVRyopWVSgVnaT7GS+O7NBBtP6DAUi1Elf +nNsArbRkMkml5vPViZws2O/ULPy1S2fsrnuywjOrPhs2dxkUqf2QwSDiqrKEp0RZVSzr5Af HQNHD8L2ymRA+E6iyItwzX2f4djSXqSaOYSTEVEsNh82Ni5TgonEu4NBJpCKsw7+BgSewKju NkWYiCDmUFstfUSjcmdPpyN+2NuGQCa4ttX5ENzlLHNO1bqX249zR07fnYiIo2QaJ9cUdh8M 2eT2nDYlp195zhK6dt1a7EnfVqwaSbXBqNOSKwN4h83Q0cVUdrBLXtoO0zC1E/Z77IkD9Rr+ e69prH4wzPtjUhzPXPvFuy3XGiJPopIrdHNW+zy1su5bruvI1cJWUxoJkFjPbLu3leA6IcCd 9obDMvgawGqG7KR6ihL8uEJUUsdFR28MyWdbtbWzPjSgmWdNaea3UaOLkkggK+oTlVboKZlF HRr7UMcijqK4oscUFnfaqR8s4+iZ9pyZPlVtRWW+dD4KJlCz97C+bALhjrxK6ia1XzLbfBhW ebhe2E7WLFbEchMu13PkJrQNYzrc9haH50yGRy2P+bmItdqgeTcSBq2iLrfNo7F01wQbvrbE GR4Mt1Fa80nszr63sP3MOKQ/Uymg0g5vyNKoCAN7d4ACPYRRkx88+9wI800jK2r93w0wW0M9 P1v1hqfmNLgQP8hiOZ9HLLaLYDnP2QrWiIMF13YMYZNHkVfymM6VOkmamdKoQZmJmdFhOEe+ QUFaOJSdaa7BSBJLHsoyTmcyPqp1NKl4Ls1S1c1mIpLnWjYl1/Jhs/ZmCnMu3s9V3anZ+54/ Mw8kaDHFzExT6Ymuu4bIT7obYLaDqa2v54VzkdX2dzXbIHkuPW+m66m5YQ8X92k1F4AIs6je 83Z9zrpGzpQ5LZI2namP/LTxZrq82hwrYbOYmc+SuOn2zapdzMzftZDVLqnrB1hFrzOZp4dy Zq7Tf9fp4TiTvf77ms40fwMOM4Ng1c5Xyjnaecu5pro1C1/jRr9Dm+0i1zxElnAxt920Nzjb ojrlPP8GF/CcVnov86qU6FEraoRW0i0/pu17ItzZvWATzixH+qWAmd1mC1aJ4p29DaR8kM9z aXODTLRkOs+bCWeWjvMI+o23uJF9bcbjfICYKl84hQAjBUr0+kFChxLc9c3S74REppudqshu 1EPip/Pk+wcwGpTeSrtRwky0XKFNEg1k5p75NIR8uFED+u+08eeknkYuw7lBrJpQr54zM5+i /cWivSFtmBAzE7IhZ4aGIWdWrZ7s0rl6qZAHDzSp5p19eIhW2DRL0C4DcXJ+upKN5wczS4Bs 8v1shvgQEVH48TKm6uVMeylqr/ZKwbzwJttwvZprj0quV4vNzNz6PmnWvj/Tid6TQwAkUJZZ uqvT7rJfzRS7Lo95L33PpJ/eS/SsrD9RTG1DLwYb9ktdWaCjUYudI8UuXIHWMk/GG2/plMCg uGcgBjVEz9Tp+7IQYAJEn0pSWu9yVP8l4ophd7lAzxr7e6mgXagKbNCpfl9HMu8uqv4Fclvb X+7l4XbpObcHIwkPyOfjmjP8mdhwv7FRvYmvacNug74OGDrc+qvZuOF2u5mLalZUKNVMfeQi XLo1eKhsUwcDBiYNlCCfOF+vqTiJyniG09VGmQimpfmiCSVz1XBol/iUgmsItdb3tMO2zbst C/b3X8ObB9yCcGmZCze5h0Rgqwh96XNv4eRSJ4dzBv1jpj1qJUjMf7GecXwvvFEnbeWr8Vol TnH6K44bifcB2KZQJNgq48mzuTinPV5kuZDz+VWRmuDWgep7+ZnhQuRiooev+UwHA4YtW30K wW0IO+h0z6vLRtQPYA2S65xmg86PLM3NjDrg1gHPGWm942rE1Q8QcZsF3ESqYX4mNRQzlaa5 ao/Iqe0oF3hTj2AuD5nWe1lG/PcBYZpczd21cOumvviw4sxM6Jper27TmzlaW0fRQ5UpWQ1+ 7uWNKUXJSZthEne4BuZwj35znaf0/EhDqNY0ghrEIPmOIPuFta0aECpTatyP4cpL2i+MTHjP cxCfIsHCQZYUWbnIatCjOQ6aSOnP5R0o0djWW3Bh9U/4L/bqYOBK1Oh61aAi34mTbcC0Dxyl 6PrToEpYYlCkydinapyuMIEVBBpSToQ64kKLisuwzKpIUbYeV//l+oabiWH0LWz8TKoO7kFw rQ1IV8jVKmTwbMmASX72FiePYfa5OVgaVUm5hh09cHLKU8aN2B+Pr48fwGCFo+8KZjbGbnSx 1al7J45NLQqZaYMr0g45BOCwTmZwXjipsl7Z0BPc7VLj5XPSUy7SdqsW0sa2Azc8WJwBVWpw OOWv1nZLqg11oXJpRBEjDSVtyLLB7Rc9RJlAbsSih/dww2iNYjDaZJ4pZviKthXG2ggaXQ9F BMKHfbs1YN3BVoYs35f2kEptn2xUB6/oDtJSVTCmfuvyjFxXG1Qiyac4g3Ey27LKqIaC0CxW WxH99hU7a4mTS57k6PfJALqfyafX58dPjMEo0wyJqLOHCFnoNETo2xKsBaoMqhp8eCSxdo2O +qAdbg8NcuI59LTWJpAypk0kra2+YjP2gmbjuT792vFkUWuLtPKXJcfWqs+meXIrSNI2SREj 2zZ23qIAlyV1M1M3QuuGdhdsFdcOIY/wcjCt72cqMGmSqJnnazlTwbso98NgJWyTbijh60z9 5zxeN34YtnxeJVLetBnHbCeqvGa9si8VbU7NQdUxTWa6AtyzI3PHOE8511PSeIZQEwjPVAxR 7m0zqHr0FS9ffoLwd9/MMNQ2jBwl2j4+rOEqhYV9pOlQ7qxNg3g3qNnYwzwA5mQ6sM2lzdw4 CWFbDjY6Xy7NVrYNZ8SoSU64OZ0O8a4rbBPtPUEsuPaoqwraE46yH8bNCO+WTjaId2aAgaWO EXrWSPpOnkTB0Ua7xt5iDJ8q2gBbC7Zx91uhT9KyKAyWWj2bc9xcqyGtzh6DusBmNwkxzaoe rZKj2ie4M7uBrWghH4BbLrBLcgt0v2mQaLAPqT7KO+nObDmDaYPAB+S/uGcuDRzqOQkbeLaG 2clRpvv04lawjKKiZUJH3jqVsPvCmylK34iIdOMcVlbuAFQL4y6pY5G5GfZmIh2830a8a8SB XfB6/kccdHyzptLxaAfaiXNcw5GT5638xYL26327btfumALnAGz+cPEmWKa3D1hJPmKyzwN/ Jk3Qk9SFnescYwh30qzdiQV2XWoMmbqhQ6+ufCeCwqZBF/iEBTdPWcWWPAJj46Joujg9pJGS RN2FWDZKunHLCNLYey9YMeGRLewh+EVNrXwNGGp2WF0z93Njd/pQ2Hztp9kuEXBKJ+m2nLLd 0CHHLR8RuGnkqKkzo0lKc4UnJMiMr1oZwZBB0Zw4rH/TOO6rNGoLRlnlfmBVoScnx0s0OG7+ jrDImhWM4+kxrWk7VOUp6LnFGToDBBTEIPL+1eACnFZo7XqWkQ2xFwJUb8hDfx1cPZG87E2Z AdQkSqCraKJjbKvUmkzhzKvc09CnSHa73LYLZqR1wHUARBaVtoM7w/ZRdw3Dqb222sjHtv++ EdLu1eq0zBOWJcaxJqIX7zlKK/50dXFAT7InHi9IGA+6mi/m6JXcYfJWZybYouQtcFxd6NNI Dref59somj2s7LGcaBH2cJrgpH0obFP/1vdXjW3ZYCIGo+9Wa1cVeKUbxX/zfvruw/xZz3jw YO9iwaCD2kF2S3TCPKH23ayMah+ddVeDtUL7jGq2IEM0eLRMXbjDK2qNJxdpn+A0kfpfZWt2 AJBKeklvUAcgN8c9CMr4pFfblPtm0maL86VsKHlRZQTd1/aBKUITBO8rfznPkKt4yqJvUBXU Wx3sASUaZA9oLh8Q8ux+hMu93Vzu4aB59udHzAtMW4KDytBPZFR9lRgGBSN7o6WxowqK3iAq 0Fh7N1bH//z09vz109NfqiSQefTH81e2BEoE2ZnTWZVkliWF7YeoT5S8n5hQZF5+gLMmWga2 2tpAVJHYrpbeHPEXQ6QFrLougazLAxgnN8PnWRtVWWy31M0asuMfk6xKan1+h9vAvEBBeYns UO7SxgXVJw5NA5mNJ8+7P79ZzdJPPXcqZYX/8fLt7e7Dy5e315dPn6BHOc9FdeKpt7KXjRFc BwzYUjCPN6u1g4XIpqquBeOkE4Mp0tTUiERqCQqp0rRdYqjQCiEkLeMfTHWqM8ZlKler7coB 18hcgMG2a9IfL7aV2x4wasbTsPz+7e3p892vqsL7Cr7752dV85++3z19/vXpI1is/rkP9dPL l58+qH7yL9oGsIkhlajFB4I1W89FOpnBTV3Sql6Wggs3QTqwaFv6GY6w0INUR3iAT2VBUwBT hM0Og4OTbwzCLOfOAL3zFjoMZXootOk0vKQQ0nU6RALoOsHDzY7u5OtuagDWOzkCKSGKjM8k Ty40lJYpSP26daDnTWPZLC3eJRE2gQjDISfzFDqd6QEl7+NbZwW/e7/chKSDn5LczGEWllWR /ShMz3dYftJQs8YaUoBd1suWgsMbX/QRJXnDq7Ec2XQE5Eq6rZryZtoZHcH2ANfizMGNhs8V Buo0JdVXn2y/lkd9Ex5E/tJbuKtuT5DJ5NjlaibPSBeWad4kEcXqPUEa+lt1w/2SAzcEPBdr tbvxr+STlbB5f9YWmxFMziVHqNtVOakj9/jdRjvyBWDFRTTO519z8mW9mx+MZTUFqi3taHUk RpsIyV9KXvqidt6K+NksfY+9SwB2yYvTEh6BnumYibOCDONKkIt4C+wyrP2uS1XuymZ/fv++ K/FWFCpWwBvoC+nKTVo8kDeiepWpwEYL3JD231i+/WHkjP4DreUGf1z/1BqcGBYJGVHvW3+7 Jj1mr/dc07X1nHCBu96ZFJgZfP2qZAw2kmkaDDPhU9wJB2mHw81rXVRQp2yB1aJRXEhA1GZG otOR+MrC+MSzcuzLAdTHwZh141qld/njN+h40SR2OVY6IBZd8jXWHO1Xcxqqc/B8EyAXCiYs 2jAZSMkCZ4kP74agYCAsRlsXTbWp/te4O8WcIyJYIL5DNDg5/53A7iidjEGmuHdR6odKg+cG jn+yBww7ooYG3cugKnUlDdO6gzRA8Cu5iTZYnsbkLqLHc3ROCCCaRXTtYilCQ8TciH7Lqg9f nUoBmG088LCzz5LWIbCcAYgSI9S/+5SipATvyGWCgrJ8s+iyrCJoFYZLr6ttE/rjJyAXVz3I fpX7ScZ7kforimaIPSWIZGKwzdo2Z6Irq1I9zq1cMJSQ3ndSkmRLMzMTUMks/pLm1qRMT4ag nbewHcNrGPu3BEh9K+0cGurkPUmzyhY+DdkKn5bHYG4ndn1XatQpuhaa3C9CQtMYjtyKKVhJ Q2unjmTkhWrHtSDFByFJpuWeok6oo1Mc57pMYzVNSq9BeeNvnBJVdewi2KCCRhtn7GqIqSHZ QD9aEhA/teihNYVc8Ux35DYl/VJLZ+iV4oj6i07uM0Frb+Sw1rWmyirK0v0ersAI07ZkIWK0 LxTaar/QGCISncbo/AEaNFKof7DXVKDeq6pgKhfgvOoOPTMut9Xry9vLh5dP/bpLVln1P3TI pYd8WVY7ERnPJOSzs2TttwumD+F533QrOKfnupt8UEJCDrcmTV2iNRppacKlALyfAD1ZOESz thvoGFym6FzPaJTK1DrYsT5azztSjlWkA356fvpi65wW5Sk1vghsh7B5o83Woa4A2sF12agN XIZLBMeHE1LZ9nTUD2xGTgFDGdwTRAitOiE4nj/piw+U6kBpDTiWcSR0i+vXwbEQvz99eXp9 fHt5dY/MmkoV8eXDv5kCNmoiX4FZ36y0TbZgvIuRGzfM3atp/96SP6swWC8X2OUciWJG5HSI 75RvjNefWI7l6n0rD0R3qMszap60yG1rd1Z4OOjcn1U0rNkHKam/+CwQYQR1p0hDUZRkWiXR miFksLGXthGH9xlbBodzMDcVhaoWXzJMHruJ7HIvDBdu4FiEoOV1rpg40zGRE23Qa3OIPKr8 QC5CNzXjfdqJMC7ULvNeMN+tUJ9DCyasTIsDuhUe8XrPoK23WjCfZOuHTVhu25kZv14/xrJt Cw6MefHi4jDTu8kP+n3ud8KTFaZuoyQrmWLCKZNb9s2C6QjaJTzTV/W57AzeHbju11Mrl9L7 KY/rNsP2y60JfZmL9QgGrne1igb4wNEhbbBqJqVC+nPJVDyxS+rMdj1lD26mHk3wbndg+u7E RUxNTyzTT0ZyGTGtDzseDmTrOW9XTLkBZgYWwAELr7nerGDJdESDzxF82ddnPvyGqTqAzxkz s1z2a4/5WK1ww0yR5YWZQ6azihscU9EDFzLfN3Dbea5lPkfs2hU7eHfhPM4UzTnOHmtgJqFe JcQlkIamBforZtLUti25ydR29TKWvboPF+sls0oCETJEWt0vFx6zrqZzSWliwxCqROF6zczu QGxZAhyFesyMDTHauTy2tjFVRGznYmxnYzBL8X0klwsmJb3J1aI6NjqJebmb42Wcs9Wj8HDJ VMKgtOy0cq+kMoPDWLjFrZlVadiou8Sxq/bMSmvwmfVCkSA6zrAQz9ySsVQdik0gmDIO5GbJ jLWJZCbeibyZLDPmJ5KbyCaWE8UmNroVdxPeIrc3yO2tZDmheCJv1P1me6sGt7dqcHurBreM rG+RN6PerPwtJ7hP7O1amiuyPG78xUxFAMcNopGbaTTFBWKmNIpDbn4dbqbFNDdfzo0/X85N cINbbea5cL7ONuFMK8tjy5TSWCPmYS/ghJCe4qYATXVVNjMnVTUjA+lzPhltwzWXoD7u4+H9 0mdauae4DtDf0C6Z+ump2VhHdlLTVF55XEupZaNNWXiZdoKt13Ox4mOsVYyA2z0OVMe14LkI Fcn1zJ4K5qkw4LaUI3czv3nyOJvh8UasS8Css4raQln4ejTUTJKrhWLZFXjkbsQ8MiNvoLiO NVBckua6n4e5mUgTwRwBx9AzDDcFGcWCFhmjGrm0S8s4ycSDy40nz7NMl8VMfiOr9su3aJnF zHJsx2ZaYKJbycwXVsnWzOdatMcMM4vmWsXOm+ngoGPBgOGG280qPNS4Ufp8+vj82Dz9++7r 85cPb6/M2+EkLRqtR+1uFWfALi/RRb9NVaJOmbEG9zULpl70vR7zxRpnZtK8CT1uZw+4z0yh kK/HtGberDecsAL4lk1HlYdNJ/Q2bPlDL+TxlceMcZVvoPOddFHnGo5Gfc/I+0YrxGMGgdEE 4+G54CHT3w2htkhM7lkZHQtxQNcJQzQRIx2JAVd7tU3GNawmOFFFE7ZUKOroaNS6orNs4CIT NPEsI3fwG26yKdDthWwq0Ry7LM3T5peVN76hKvdk9zNESet7fJ5rzsndwHB1ZLt80lh/2k5Q 7eJjMalrP31+ef1+9/nx69enj3cQwh27Ot5GbfmI0oLGqT6KAYkOqgGxlooxC2QZE03s54rG ytWgQIo/wdEgNVrkVK/DoI5ihzGS1Wt24ITjq6hosgm8MUJ3vgbOKYCMDRj9zAb+QW+z7YaZ dBQJXWM9DNPDsistQlrS+nKeyJsW34VruXHQpHiP7O8atDIuUEifMXoRBMRHfAZraXfDL4SM MZZssaaJ6bvMmapGJ2Cm10ROXUuRi1Xsq4Fb7s6US0v69bKA2z5Q5ifjSO8LQI+EjiamYGo8 d+3VFj2GsRjZyhoaJBLUhHnhmgYlpi816F6jG4Nu+PjVYG24WpFw9HLdgBltmvfJxZla9LUK CUY7iMjjbo9vHm9MLKMGvEaf/vr6+OWjO+E4HqR6tKCFPlw7pJ1sTXO0FjXqO0Mh2spFGL9f 05rUz0MCGtxYTaNoo/qMH3o0R9WY28XiF6IoST7czMT7+G9UiE8z6N8xq42apJ2jN+lIJ9V4 u9p4+fVCcGokfQJpZ8KKascGdNvdJemdKN53TZORyFSXvJ+4gu0ycMBw41Q+gKs1LRFd8MfG xjeIFryicH+rSCeaVbOyJax+3IOBVDKWe59IBJ0evhNCGzV1h35veZCDw7WTOsBbZ/z3MG3K 5j5v3QypR6YBXaMXg2YKooa1NUqNYo+gU8PX4dB9miPcLt8/REp/MBToQyHTsplaE4/OaHUR tWmM1R8erQ14aGco+5mf6QlxFPj6O60Hkk4pR+2im6VXopS3phloCx1bpybNPOZ8aRQEYeh0 4VSWziTQqiVGNbFdcKaAxiWi3N0uONIuH5NjouHCltHJ1gG82i6YtXmZYRvp/fSf51573NHK UiGNErX2d2cv6BMTS1/NtnNM6HMMiCxsBO+acwSWzY7x/UD0ks5YLczH2B8pPz3+9xP+vl47 7JjUOOdeOwy96x5h+DJbjwET4SwBTuRjUGebZg8UwjbMjaOuZwh/JkY4W7zAmyPmMg8CJbVF M0UOZr4WvWfCxEwBwsS+08OMt2FauW/NIYa2EtCJi+0kvVfOgfMq1VVsBwcmdJ1I28+QBQ5q TjwHyvuuVQIniEl+nh8EaHmMrxEfDvZdeDtGWdiVseQhydPCsp7AB0JCBGXgzwYZ97BDaBMA LIPvvi1CX8FWJd8QvR7QrVbR70t/UPVZE/nb1UzT3Rf2Uzabufmpcgafnk7N0C3xGGizo2EC PkuzpbnB/aBpa/qmzSbfW5N5nezKsjGWoUewz4LlUFG0XVlaAnmuquyBR+lbnSoW3eA2t4cE mATA0LCBF3HU7QS8MrEUMgcz4SROb44YZly0GBqYCQy6hBgFvWOK9dkzDrlA0/YAs5DaNixs Dz1DFBE14Xa5Ei4TYRPJAwwzpq2TYOPhHM5krHHfxbPkUHbJJXAZx0jfQMiddL8YgbkohAMO 0Xf30GHaWQJbdKCkWvznybjpzqo3qTbDPrTHSgB/VVylkW3Y8FEKRyb2rfAIH5tdmyhnWp3g gylz0ukVGobd/pxk3UGcbdMMQ0LgMGmDdgmEYVpYM77HFGswi54jfzXDx8z37sG8uZtiDep2 TnjStQc4lRUU2SX0aF4ELuHsnAYCdqj2uZqN28cZA44Fyilf3W2nfjMm0wRr7sOgapfIBubY c7Tdz7IPsraNLliRyZ4YM1umAnqPB3ME86VG5ynf7VxKjZqlt2LaVxNbpmBA+CsmeyA29vND i1BbdCYpVaRgyaRkNulcjH6fvnF7nR4sZtm3DY70Tjx2zEQwmO5lenCzWgRMzdeNmraZD9Qv eNVuzFZAH79RLY22uD2N7GHVdKKcI+kt7JdXx2uO7SOpn2pPGFOof6Zrbi6MTdPHt+f/fuIs CoNpdTmoV3528FgVesniy1k85PAcfDzOEas5Yj1HbGeIgM9j6y/Zr2s2rTdDBHPEcp5gM1fE 2p8hNnNJbbgqkRF5HjkQYP81wpbkbabiGHJDNOJNWzFZxBId5E2wx5ao9yeB1hLEMZ+Xrk5g 9dYl9htPbWH3PBH6+wPHrILNSrrE4AiGLdm+kU1ybkBmcMlDtvJCbGN0JPwFSyhhTbAw0x3M hZUoXOaYHtdewFR+ustFwuSr8CppGRyusfAUMlJNuHHRd9GSKamSVGrP53pDlhaJOCQM4V4V j5SeqZnuoIktl0sTqaWK6XRA+B6f1NL3mU/RxEzmS389k7m/ZjLX7iu5CQCI9WLNZKIZj5nJ NLFmplEgtkxD6bPLDfeFilmzI1QTAZ/5es21uyZWTJ1oYr5YXBvmURWw60GetXVy4AdCEyEf ZWOUpNj73i6P5jq3GustMxyy3LaTNaHcnKxQPizXd/INUxcKZRo0y0M2t5DNLWRz40ZulrMj R62DLMrmtl35AVPdmlhyw08TTBGrKNwE3GACYukzxS+ayBzDprIpmUmjiBo1PphSA7HhGkUR aovMfD0Q2wXzncODEJeQIuBmvzKKuirEO1bEbdUemJkcy4iJoO84bZthFTY5N4bjYZCFfK4e 1NrQRft9xcRJ62Dlc2NSEfhxyURUcrVccFFktg7VSsv1El/tFxm5Ts/37BgxxORTbBLhrSBB yM38/eTLzRqi9RcbbhkxsxY31oBZLjlJErZc65ApfNUm3poTGNUOZqm26EyPVMwqWG+Yqfkc xdsFJ6YD4XPE+2ztcTj4EWPnWFsfaGY6lceGq2oFc51HwcFfLBxxoanJv1FozBNvw/WnREl0 ywUzFSjC92aI9dXneq3MZbTc5DcYbv403C7gVkAZHVdrbes95+sSeG4G1ETADBPZNJLttjLP 15yUoVY/zw/jkN+WqR0m15iK2IQ+H2MTbrg9iKrVkJ09CoGegts4N70qPGCnoSbaMOO4OeYR J5Q0eeVx873GmV6hceaDFc7OcIBzpRxP+V0mFetwzWwILo3nc5LjpQl9bj97DYPNJmB2PUCE HrOpA2I7S/hzBFNNGmc6jMFhTgG1TXeGVnym5tSGqRdDrQv+g9ToODJbP8MkLEUUImwcuZoF AUNYZe0BNcREowQPpII2cEme1IekAEdZ/X1Lp7Xfu1z+sqCBy72bwLVOG7HTDsHSiskgToxV yUN5UQVJqu6aykRrDN8IuBdpbbwP3T1/u/vy8nb37entdhRwwtbJSkR/P0p/qZqp3Rwsw3Y8 EguXyf1I+nEMDebE9H94eio+z5OyWse41dlteWO6w4Hj5LKvk/v5npLkZ+PSzaWwpq521zgk M6JgAdQBB60pl9HWSFzYqEw68Hjf7TIRGx5Q1bUDlzql9elalrHLwHtyBjWHrQ7ev/t2w4MX UZ+piuZkgUZr8cvb06c7MKn4GXlI06SIqvQuLZpguWiZMKPOwO1wkx9ALiudzu715fHjh5fP TCZ90XsjEO439bf0DBHlamvB49Jur7GAs6XQZWye/nr8pj7i29vrn5+1CZ/ZwjapdmbqZN2k btc3ngVYeMnDK2Zg1WKz8i18/KYfl9qoeD1+/vbnl9/nP6l/Qc3kMBfVpNvkzx9eX54+PX14 e3358vzhRq3JhhmLI6bvzNHR5ETlSY4dCWm7ZEwL/43ijG2lpsqSjhZjWVtV6u+vjzeaX7/v Uj2AaD5NBmW5st1Me0jCvqgnZbv/8/GT6rw3xpC+rmpg+bbmwPFZf5OocolM6BKPpZpNdUjA vJlxW258m+UwoyOS7xQhllBHuCiv4qE8NwxlfK90Wo8iKUAQiJlQw2sSXVHXx7cPf3x8+f2u en16e/789PLn293hRX3UlxeknzdEVuIn2OMqz3rVZlLHAZR8xHwsDVSU9mOHuVDaI4xujhsB bZECkmXkiB9FM/nQ+omNy1bXamu5bxh3Mgi2crKmKXOL4UbtXWLzxDqYI7ikjGauA0+Hmiz3 frHeMoyeBFqG6LVceGK1YIjeU5ZLvE9T7V/aZQa300yJM5VSbGm/6XupClyWu4FHizMtl72Q +dZfcyUGLbo6h3OQGVKKfMslaTTvlgzTP2limO1mw6D7Rn0lOHx0KWSJ3J1SHGbqOVcGNFZo GUKbEeS6n35uxUUAw6ZcYxarZu2FXHXBe3WussrjduEF/ob5vMHhEtNle70TJh+1xQ5Ak6du uFFQnKMt29Tm+Q5LbHy2DHCBwVfnKIwz3qjy1sedGsR5GWHsDOaMuOpNmjOXX9mCizmUxOCE nqsheHHGfaleuV1cr54ocWOe99DuduxEI9m+kSdq5W+SE9fRBjt7DNe/jmNHZyYkN6BqJT9I IXGZB7B+L/CkYqy1ub2vX/PZLhZwk7Js4D2cxzCjmMCUtYk9z55gpsENhivcCJW2jsRVR5bm G2/hkX4QraAjoh63DhaLRO4wal74kDozzyfILAwPQjGkdhtLPVAJqDczFNRvQ+dRqtupuM0i COmgOVQxGTR5BZ9qvnWMrb1WrBe0+xad8ElFnfPMrtThRctPvz5+e/o4iQvR4+tHS0pQIaqI WSDjxhhkHl5o/CAZUP9hkpGqkapSynSHfBfa7gMgiNQ2922+28HZBHI9CElF2tkvn+TAknSW gX55s6vT+OBEAFdjN1McAmBcxml5I9pAY1RHAGe7CDWezKCI2iEsnyAOxHJYiV31OcGkBTDq tMKtZ42aj4vSmTRGnoPRJ2p4Kj5P5OhI0JTdWIbGoOTAggOHSslF1EV5McO6VYbsAGuHWb/9 +eXD2/PLl969mbtdy/cx2RABgp5Hcoza6+QHSjma0YAaiziHCunu6OAy2NjmOAYMWabVxpr7 F5w4pGj8cLPgyj75ZSA4+GUAC/6R7SFjoo5Z5JRREzKPcFKqslfbhX0PolH3iaipFnSZpyGi TTxh+CLawmt70tGNZhyPsKDrbg5I+txzwtxcexzZBdcZUFsQIxhy4Ja2mkwj2/IGNK9W6W4Z 0H4AApH7jR1yHGLhyFHQiK9czFbkGrHAwZB+uMbQA15A+vOqrBL21ZGuv8gLWtpBetCt1YFw m6FVqdfO0FFC7EoJxg5+TNdLta5i24g9sVq1hIAnyJVpEYSpUsBb47HeQFpN7fegACC/cZCF frgc5WVsn6ADQZ8uA6Y10+moMOCKAde2VWTTPanado+ap8s0LNHSnlD7Ze+EbgMGDW0LYT0a bhduEeAZCxPStlwzgSEBjakZnORwqGBtJN9rJ4wVGXFYSR8g9PTUwmEjgxH3RcCAYK3LEcUK +P0rZ+JFTiech85A0DuauiKzMGP3U5d1fENsg0TJW2P02bkGT6F9Qawhs0kmmcOs6RRepsvN mjp910S+su+XR4isyho/PYSqs/o0tCTTlVEoJxVgLOuSVU7sAm8OLJvKjh1ysTVIxPweNes0 njINU9VRfiYl7l/0zx3Ca17fyLz+9sie8UEAPHEbyMzxt07U59Imogd4Z1MFJ+Um7/IAa9JO 5EGgJslGRs7ESi0tGEy/TKGpZDkZWfpw59xL0Dg4tZ4ADyS8hf2gwzymsFWRDLIh48G1jDCh dBF2n2EMRSemIywYGY+wEgkZFJlcGFFkccFCfSYFhbpr4cg4y6di1GJiW1Aczqdwzx9Q82oL F6anxDm2x29v0oFKmUmRZOIscRLXzPM3ATNXZHmwonOVZeIC49QghgZzOqc0m2y9bncEjNZB uOHQbeCgxKyFXiywBRxd9FEFHItxvYkUDmQk2J7ghUnbdqGuxnwFKkAORruPtouxYbDQwZYL Ny4omzCYK0X2uCN19oopDMamgQxnm8nzugydZa085mofscH2qPq5NvDVUCROWyZKE5Iy+kTM Cb4niQ/qTzAzIutOw+VA36mxM+a5veUY2VX9HCG6/EzEPm0TVaIya4R94DEFuKR1cxYZWMCQ Z1QZUxhQNNF6JjdDKdnyENouiBGFBVRCrW3Bb+JgCxzaUyWm8O7Y4uJVYD/9s5hC/VOxjNkA s5QWBXgG29G3mH6QZnHpsTF7XvUneAPOBjEb+hnG3tZbDNkJT4y7x7Y4OkJsytmETySRkK3e aPagM8yKLTp9EYWZ9Wwce6uJGN9j20wzbLXGRjgkkpnNc5KbNdREsQpW/Ddg8X7CzRZznrms AvYrzA6UY1KZbYMFWwhQSPc3Hjtm1Kq65puMebdkkUqS27Dl1wzbavptMp8VkZgww9esI05h KmTngcwIBnPUerPmKHebjLlVOBeNmACj3GqOC9dLtpCaWs/G2vLT6bCbnqP4gampDTvKnNfX lGIr3z0roNx2LrcNfr9icf2RDxYXMb8J+WQVFW5nUq081Tg816wDfh4BxuezUkzItxo5qZgY 6mjKYnbpDDEzLbuHEha3P79PZpa56hKGC763aYr/JE1tecq2ajXB7jmGyx1nSZnHNyNjB4YT OZxzcBQ+7bAIeuZhUeQoZWKkn1diwXYZoCTfm+QqDzdrtmvQ5/QW4xySWFx2UFsCvqWN7Lsr S+xcmga41Ml+d97PB6iurJTqCNATBQcGtk0GO5KW7LtLbt8bWLz61MWaXdTgFZG3DthqcA8H MOcHfI83hwD8+HYPEyjHz3qujQfCefPfgI8eHI7to4ZbzpdzRowfTx7mublymhMFjqMmTKxt h2Nu1tq26CcWHOG8PZk4ulHFzIqV5PsNL58a2oZGw5nndxspyibdIwcAgFa2r7manpXW4N/d msKz1DY3V4NP+aiMYX86gmndFclITFFTPcHN4GsWf3fh05Fl8cATongoeeYo6oplcrWZPO1i lmtzPk5q7HpwX5LnLqHr6ZJGiUR1J9RUUyd5abs8VWkkBf59TNvVMfadArglqsWVftrZvl6E cI3aOqe40Pu0aJITjqkt1iOkwSGK86VsSJg6iWvRBLji7cMh+N3Uicjf251Kode02JVF7BQt PZR1lZ0PzmcczsI25augplGBSHRs9EhX04H+1rX2nWBHF1Kd2sFUB3Uw6JwuCN3PRaG7Oqga JQy2Rl1ncL6MPsZYeCdVYCzYtgiD96Y2pBK0XThDK2kvOAhJ6hS9lhmgrqlFIfMUjPSgcktS Eq0OizJtd2XbxZcYBbPN32nVNm2bzvgmnvQfPoOnhrsPL69PrqthEysSub7p7iN/x6zqPVl5 6JrLXABQnWvg62ZD1AJM486QMq7nKJh1HaqfirukrmF/XLxzYhk32Bk64CaMqsvdDbZO7s9g M0/YR56XNE5gyrTOVQx0WWa+KudOUVwMoGkUEV/o+Z8hzNlfnhYglqpuYE+EJkRzLuwZU2ee J7mv/kcKB4zWrekylWaUoSt6w14LZBNR56CkRHj/wKAxqPAcGOKS6xdrM1GgYlNb1/KyI4sn IPppyXcbKWwDmg2os3VJohXNcETRqvoUVQOLq7e2qfihEKBLoetT4tTjBLxPy0Q7n1bThARz Lwcc5pwlRKNIDyZXhUh3ILjhmrqr0fF/+vXD4+f+eBhr2/XNSZqFEKp/V+emSy7Qst/tQAep tow4Xr5a2/teXZzmsljbx4Q6ahbacvKYWrdLbIv9E66AhKZhiCoVHkfETSTRlmqikqbMJUeo xTWpUjafdwlo9b9jqcxfLFa7KObIk0oyalimLFJaf4bJRc0WL6+3YFuLjVNcwwVb8PKysg3l IMI2UkKIjo1Tici3T4kQswlo21uUxzaSTNALcYsotion+xk95diPVet52u5mGbb54D/Ighul +AJqajVPrecp/quAWs/m5a1mKuN+O1MKIKIZJpipvua08Ng+oRjPC/iMYICHfP2dCyUQsn25 WXvs2GxKNb3yxLlCkq9FXcJVwHa9S7RAPjMsRo29nCPaFJxpn5Rsxo7a91FAJ7PqGjkAXVoH mJ1M+9lWzWTkI97XgXZXSybU0zXZOaWXvm8fdZs0FdFcBllMfHn89PL7XXPRZu+dBcHEqC61 Yh1poYepsyZMIomGUFAdqe1h1/DHWIVgSn1JZVpSAcD0wvXCsQmCWAofys3CnrNstEN7FcRk pUD7QhpNV/iiG/S6rBr++ePz789vj59+UNPivEB2QmzUSGzfWap2KjFq/cCzuwmC5yN0IpNi LhY0JqGafI1OAG2UTaunTFK6huIfVI0Weew26QE6nkY43QUqC1svb6AEujq2ImhBhctioDr9 XPKBzU2HYHJT1GLDZXjOmw7pDg1E1LIfquF+y+OWAB7ptVzuagN0cfFLtVnYdsVs3GfSOVRh JU8uXpQXNc12eGYYSL2ZZ/C4aZRgdHaJslKbPY9psf12sWBKa3Dn+GWgq6i5LFc+w8RXH1my GetYCWX14aFr2FJfVh7XkOK9km03zOcn0bFIpZirnguDwRd5M18acHjxIBPmA8V5veb6FpR1 wZQ1StZ+wIRPIs82mjh2ByWmM+2U5Ym/4rLN28zzPLl3mbrJ/LBtmc6g/pWnBxd/H3vIeQzg uqd1u3N8sP1BTExsq+LLXJoMajIwdn7k908QKneyoSw38whpupW1wfovmNL++YgWgH/dmv7V fjl052yDshv2nuLm2Z5ipuyeqaOhtPLlt7f/PL4+qWL99vzl6ePd6+PH5xe+oLonpbWsrOYB 7CiiU73HWC5T30jRoz+eY5ynd1ES3T1+fPyKPeLoYXvOZBLCYQpOqRZpIY8iLq+YMztc2IKT Ha7ZEX9QefzJnTD1wkGZlWtksbhfoq6r0DZyN6BrZ2UGbG05n7Qy/flxFK1msk8vjXNoA5jq XVWdRKJJ4i4toyZzhCsdimv0/Y5N9Zi06TnvvZbMkPrlMuXy1uk9cRN4Wqic/eSf//j+6+vz xxtfHrWeU5WAzQofoW0/sD8ANO+dIud7VPgVMp2G4JksQqY84Vx5FLHLVH/fpbb6vsUyg07j xkSFWmmDxWrpCmAqRE9xkfMqoYdc3a4Jl2SOVpA7hUghNl7gpNvD7GcOnCspDgzzlQPFy9ea dQdWVO5UY+IeZYnL4JdMOLOFnnIvG89bdGlNZmIN41rpg5YyxmHNusGc+3ELyhA4ZWFBlxQD V/B49cZyUjnJEZZbbNQOuimJDBHn6guJnFA1HgVsfWdRNKnkDj01gbFjWVX23kcfhR7QXZcu Rdy/iGVRWBLMIMDfI/MUnNWR1JPmXMHVLdPR0uocqIaw60Ctj6ML2v4ppjNxRmKfdFGU0jPh Ls+r/sKBMpfxKsLpt72HXicPY+UiUqtf7W7ALLZx2MGwxKVK90qAlxV4gr8VJhJVc67pWbnq C+vlcq2+NHa+NM6D1WqOWa86tcnez2e5S+aKBa8p/O4CtmUu9d7Z9E+0s7slpvP7ueIIgd3G cKD87NSiNqrFgvztRtUKf/MXjaBVfVTLo+sJU7YgAsKtJ6OyEiPfAYYZjCxEifMBUmVxLgYb W8sudfKbmLlTjlXV7dPcaVHA1chKobfNpKrjdVnaOH1oyFUHuFWoylyn9D2RHlDky2CjhNdq 72RAnfjaaNdUzmLXM5fG+U5tbA9GFEtcUqfCzPPhVDopDYTTgObJUuQSjULte1WYhsaLr5lZ qIydyQSsnVziksUr25N43+sHmyHvGKlgJC+VO1wGLo/nE72A/oM7R47XeaBvUGcicpp06MvQ 8Q6+O6gtmiu4zed7twCt32lbb7VTdDyIuoPbslI11A7mLo44Xlz5x8BmxnDPN4GOk6xh42mi y/UnzsXrOwc377lzxDB97OPKEWwH7p3b2GO0yPnqgbpIJsXB1mV9cI/vYBVw2t2g/Oyq59FL UpydKUTHinMuD7f9YJwhVI0z7UFuZpBdmPnwkl5Sp1NqUG8rnRSAgHvcOLnIX9ZLJwM/dxMj Q8dIa3NSib5zDuG2F82PWpngR6LMYFGAG6hgaEiU89zB84UTAHLFrwvcUcmkqAeK2tbzHCyI c6yxq+SyoHvxo8/XM7vi9sO+QZqt5tPHuzyPfgZTKcwZA5z/AIUPgIwiyHhZ/x3jTSJWG6Td afRG0uWG3phRLPUjB5ti08suio1VQIkhWRubkl2TQuV1SG8yY7mraVTVz1P9l5PmUdQnFiQ3 U6cE7QbMuQ0c0Bbk8i4XW6S8PFWzvTnsM1J7xs1ifXSD79chestjYOb5pmHMK9Cht7iGUYEP /7rb570exd0/ZXOnjRP9a+o/U1Ih8p39/y85ewozKaZSuB19pOinwB6ioWDd1EifzEadahLv 4YSaoockR7epfQvsvfUeKbxbcO22QFLXSoiIHLw+S6fQzUN1LG151sDvy6yp0/FcbRra++fX pys4KP5nmiTJnRdsl/+aORzYp3US0/uPHjRXrq6mFcjWXVmB6s1oLxTMn8JTStOKL1/hYaVz cAtnVEvPkWWbC9UMih7Me05VkPwqnI3b7rz3yX58wpkDYI0rmays6OKqGU7NyUpvTj3Kn1Wp 8vGhDz2umGd40UAfCC3XtNp6uLtYradn7lQUaqJCrTrh9kHVhM6Ib1rPzOwxrFOnxy8fnj99 enz9PuhS3f3z7c8v6t//uvv29OXbC/zx7H9Qv74+/9fdb68vX97UBPDtX1TlCrTu6ksnzk0p kwx0faj2YtOI6Ogc69b9G21jm9uP7pIvH14+6vw/Pg1/9SVRhVVTD9jlvfvj6dNX9c+HP56/ Tsa7/4Qj/CnW19eXD0/fxoifn/9CI2bor+aZPe3GsdgsA2dzpeBtuHRPz2PhbbcbdzAkYr30 VowUoHDfSSaXVbB0b5YjGQQL97BWroKlo+kAaBb4rnyZXQJ/IdLID5yDpbMqfbB0vvWah8gR 0oTaTr/6vlX5G5lX7iEsaL3vmn1nON1MdSzHRnKuJ4RYr/TBtA56ef749DIbWMQX8Ovn7Gc1 7ByGALwMnRICvF44B7Q9zMnIQIVudfUwF2PXhJ5TZQpcOdOAAtcOeJILz3dOlvMsXKsyrvkj Z/eGx8BuF4W3nJulU10Dzn1Pc6lW3pKZ+hW8cgcH3LIv3KF09UO33pvrFvnqtVCnXgB1v/NS tYHxLWh1IRj/j2h6YHrexnNHsL5CWZLUnr7cSMNtKQ2HzkjS/XTDd1933AEcuM2k4S0Lrzxn l9vDfK/eBuHWmRvEKQyZTnOUoT/dckaPn59eH/tZelbPR8kYhVASfubUT56KquIYsJDrOX0E 0JUzHwK64cIG7tgD1NUSKy/+2p3bAV05KQDqTj0aZdJdsekqlA/r9KDygv0mTmHd/gPolkl3 46+c/qBQ9Jh8RNnybtjcNhsubMhMbuVly6a7Zb/NC0K3kS9yvfadRs6bbb5YOF+nYXcNB9hz x4aCK/TKboQbPu3G87i0Lws27QtfkgtTElkvgkUVBU6lFGrfsPBYKl/lZeacNtXvVsvCTX91 Wgv3EA9QZyJR6DKJDu7CvjqtdsK9DdBDmaJJEyYnpy3lKtoE+bg9zdTs4erzD5PTKnTFJXHa BO5EGV+3G3fOUGi42HSXKB/y2396/PbH7GQVw9t1pzbAepKrWQnWH7REby0Rz5+V9PnfT7Ax HoVULHRVsRoMgee0gyHCsV60VPuzSVVtzL6+KpEWDN2wqYL8tFn5RznuI+P6TsvzNDwcOIEH Q7PUmA3B87cPT2ov8OXp5c9vVMKm8/8mcJfpfOUjX639ZOszZ2T6jibWUsHkLuf/Tvo331ml N0t8kN56jXJzYlibIuDcLXbUxn4YLuB5YH+YNtkgcqPh3c/wVsisl39+e3v5/Pz/PsFdv9lt 0e2UDq/2c3mFrHJZHOw5Qh+ZksRs6G9vkchqm5OubZaEsNvQ9heLSH2eNRdTkzMxc5miSRZx jY/N2xJuPfOVmgtmOd8WtAnnBTNluW88pMRqcy15qYG5FVIZxtxylsvbTEW03ZC77KaZYaPl UoaLuRqAsb92VIzsPuDNfMw+WqA1zuH8G9xMcfocZ2Im8zW0j5QsOFd7YVhLUL2eqaHmLLaz 3U6mvrea6a5ps/WCmS5Zq5VqrkXaLFh4tsog6lu5F3uqipYzlaD5nfqapT3zcHOJPcl8e7qL L7u7/XBwMxyW6Bep397UnPr4+vHun98e39TU//z29K/pjAcfLspmtwi3liDcg2tHSxhewmwX fzEgVVFS4FptVd2gayQWaf0c1dftWUBjYRjLwDjj5D7qw+Ovn57u/uedmo/Vqvn2+gy6qDOf F9ctUfgeJsLIj2NSwBQPHV2WIgyXG58Dx+Ip6Cf5d+pa7TqXjj6XBm3TGTqHJvBIpu8z1SK2 49cJpK23OnroGGpoKN/WDRzaecG1s+/2CN2kXI9YOPUbLsLArfQFMvQxBPWpCvYlkV67pfH7 8Rl7TnENZarWzVWl39Lwwu3bJvqaAzdcc9GKUD2H9uJGqnWDhFPd2il/vgvXgmZt6kuv1mMX a+7++Xd6vKxCZLNvxFrnQ3znSYcBfaY/BVRHr27J8MnUDjekKu36O5Yk66Jt3G6nuvyK6fLB ijTq8CZmx8ORA28AZtHKQbdu9zJfQAaOfuFACpZE7JQZrJ0epORNf1Ez6NKjeon6ZQF902BA nwVhB8BMa7T8oOLf7YmaonmUAA+3S9K25uWME6EXne1eGvXz82z/hPEd0oFhatlnew+dG838 tBk3Uo1UeRYvr29/3InPT6/PHx6//Hx6eX16/HLXTOPl50ivGnFzmS2Z6pb+gr4/KusVdsI8 gB5tgF2ktpF0iswOcRMENNEeXbGobbbJwD569zcOyQWZo8U5XPk+h3XO9WGPX5YZk7A3zjup jP/+xLOl7acGVMjPd/5Coizw8vk//n/l20RgPpNbopfBeDsxvMyzErx7+fLpey9b/VxlGU4V HVtO6ww8hFvQ6dWituNgkEmkNvZf3l5fPg3HEXe/vbwaacERUoJt+/COtHuxO/q0iwC2dbCK 1rzGSJWApcsl7XMapLENSIYdbDwD2jNleMicXqxAuhiKZqekOjqPqfG9Xq+ImJi2ave7It1V i/y+05f0gzJSqGNZn2VAxpCQUdnQN3THJDNqHkawNrfjk7n3fybFauH73r+GZvz09OqeZA3T 4MKRmKrxDVXz8vLp290b3FL899Onl693X57+MyuwnvP8wUy0dDPgyPw68cPr49c/wFy9+0Ll IDpR2/rLBtCKYIfqbBvz6BWYStnY1wI2qjUOriKzvPWCRmdanS/UInlsO4tVP4zmbiwtyy2A xpWahtrRkQzm4LIbPJDuQTMOp3bKJbQd1uHv8f1uoFBye207hvHKPZHlJamNFoFac1w6S8Sp q44PspN5kuME4DF1p7Z08aQMQT8UXc0A1jSkjg5J3mkPUUzx4cvmOIgnj6DNyrEXUlQZHZPx QTeczPWXXncvzuW7FQvUtKKjEpnWuMxGfStDL2EGvGgrfay0tS9nHVIfdKGjwrkCmcW+zplX 1SrRY5zZFkpGSFVNee3ORZzU9Zm0ey6y1H0DoOu7VDt0YZfMznjyYgthaxEnZWH7qkW0yGM1 1Gx68Eh+90+j2RC9VINGw7/Ujy+/Pf/+5+sjKOcQ1+R/IwLOuyjPl0ScGT+6umuonoM/+3Ky Dcfo0jcpPNw5IE9XQBjt5HHurJuINMikkx9zMVfLINDW6QqO3cxTai5paSfvmUsap4Ou03Dg rE+Xd6/PH3+nPaaPFFcpm5gzW43hWRhUP2eKO/ojln/++pO7fkxB04pPW7+H4Ii6bLDte4uT kchoPQ3a0FMbj/rR5kV+2qLvG9koLngivpIvtxl31h/ZtCjKuZjZJZYMXB92HHpSAvOaqf5z nJGuTJeR/CAOPpIoFBilap6Q3X1iu1jR0bXzYTo6GJ9uuqK1Su+ZA/sKcxn92S58kaRTqLm+ 3KUZXm6NCzwGYnKbcHdVMhyY80uK2Im2Ns1J4TDlP8tQZrwyRKOQDnkeAK5ERj7NW6tY2+lK rSlIO7wBeCdkwgTnUiB6e4SwFesmKgJzdVHTpfW92neqrSYb355CJviSFBGHm5o3r58QvRzp ORw3GHCrmTgmKxmzMBqTE5ynRbePlJSjPVGeflkwCWZJoiYLJZTV+vu6OpHJ+FQdwqk2vEv+ UjL0F7XDip+/ff30+H3WAfvQ4J1KCuyUdmUlAlsV2gnQVLHnS2xdYgijfoM1MvAE4PRFEmC0 uciEqkShRrWqoy7KZ2mtASeidrVeidN8sOxQHdMsrWSX7RbB6n7BfVufojYLm8lFsLls4isy DoFDNhWoJi78sGmS6IfBlkHeJGI+GFjILbJwsQyPmd74jyLH321OJNam7mx435KpeFdGRzLX gccV0NuuyKSZS7qVkDmE0qORiNlA1ckhBTvdYGrwkBYHN4SOfI5Ll9Ej7BhHlUs50kAP6mMC lvDDIof9wgy7uMlC3HC7XswH8Za3EvDY5PdSdeuIVLDe4jGQ81Z7JFTNuzUr6XZGAe5ioXvc MFsMval6/PL0iUwKpmsK6BhJLZUUSte7fng5a2Y/lsgF+8Tsk/RBFIdu/7DYLPxlnPprESxi LmgKT0lP6p9t4Ps3A6TbMPQiNoiSczK1x60Wm+37SHBB3sVplzWqNHmywLfJU5iTqu9+o9Kd 4sV2Ey+W7Hf375uyeLtYsillijwsV7brioksszRP2g62TerP4tym9nsXK1ydqlk/iY5d2YAL oy37Yeq/AuwSRt3l0nqL/SJYFvzn1UJWO7VBe1DyYlOe1eQQ1YltINUO+hCDjY86X4eO8NYH UbKhLty742K1KRbkisoKV+zKrgbDVnHAhhifi61jbx3/IEgSHAXbTawg6+Ddol2wdW+FCoXg 80rSU9ktg+tl7x3YAGYJufcWXu3Jli4hZJ1ZBo2XJTOB0qYGk5JqGG82fyNIuL1wYfQSVB3w xeHE1ufsoSuaYLXabrrrfXtAW24yP6AFhLgqn9IcGTTFTId67FZw3PyIot0gmyN6SxAX0p3K 4nO+0wdqsSAjHyalQV4ha1dyELBrUXJUE1ct+GY5JB14ULoE3f6KA8MRSdUUwXLtVB4cOXSV DNd0XpIptEsaIsc6hki32CRaD/oBmUiaY1ok6r/ROlAf4i18ypfymO5Er0hOD34IuyGsGt77 akl7AzySLdYrVcUhOV+yd6XOGZKjDE0I6mgR0UEwQ1A1at3W3O6mBztx3HXkrYlNp768RaPX oj0x7puZweD2ZLzokkKmOT18g0f4Ag46Qcblzr4gRHNJXDCLdy7o1sslIKvpJVo6wMz2M2kK cUnJVNKDqk8mag9OxC1RR9WBiDTHVIlAqhvmER1+xigAjzKf8r4h1ZC3kghcrdzvaHrIX8EI 8b2oSYuH2D4u74G+E+xSlzm2YbDaxC4Bgohv3xjZRLD0uEzULiK4b1ymTiqBDtgHQs32yKWX hW+CFZnwqsyjA1R1LWfdVmKHK0Hs65Ke2Bj7Kt1hTzp1BvMqEXabmIaqPVuNT3/+gWR7SQkg xUUcWEFSyUBJ0ejbhO7+nNYnSb8J3gwXcZkPi9H+9fHz092vf/7229Nrv4Wy1qH9Tm03YyV1 Wcvafmc8pTzY0JTNcNmgrx5QrNjegkHKe3gwmmU1MtbdE1FZPahUhEOoVjkkuyx1o9TJpavS NsngXKbbPTS40PJB8tkBwWYHBJ9dVZeg6tuBASr181yoTWmVgMfXRKBM92WdpIdCrbxqPBeI 2pXNccLHI3Jg1D+GYA/wVQhVniZLmEDkc9G7VWiCZK8kWm33DteNkhlU30Bh4bwtSw9H/OW5 EiD6Ox2JkoDdENRTY3Zhbuf64/H1o7GCSA9coP30CSeu49ynv1X77UtYICJzZoIKoPZlEbpu gWSzSuKHaLoH4d/RgxLz8TWujep+a2d0viQSd5TqUuOylhWIWnWCv0h6sfZ9h0B9XIuQAq4M BANh/7oTTDbFEzE1oU3W6QWnDoCTtgbdlDXMp5uixznQV4QSxlsGUlO8WuoLtWVCCQzkg5IY 7s8Jxx04ECn9W+mIi71dg8LrWzEGcr/ewDMVaEi3ckTzgKbzEZpJSJE0cEd7tYLAClytdqzQ ux2udSA+Lxngvhg4/ZouKyPk1E4PiyhKMkykpMensgtsv7sD5q0QdiH9/aIdzMBMDVNttJc0 dAcOJPNKrXQ7OPB4wL0/KdWsneJOcXqwbdwrIEBrcQ8w36RhWgOXsoxL22EwYI3a4uBabtTG Ty3IuJFtax96XsNxIjWRpUXCYWoNF0r0vGh5c1wPEBmdZVPm/JJQtQLp3EFjHDtzcdPhE1Io e56WDmDqhzR6EJGu1Zvih3PPa53SdThH7h00IqMzaQx0UwaTyy5Xfb1Zrsg0TY2cKehQZvE+ lUcExiIkE2/vThvPHAkcH5Q5rn1QDvNJ7B7TBiQPZCANHO00eYtbeleXIpbHJCHyiASNxw2p oo2tet1b+0N2AMHEIra/NSC8b6SBxA7ec+ug/qikAkxpQW/c/rGyo174d48f/v3p+fc/3u7+ x53qWIOjdEcZCA71jMcb4/9tKjsw2XK/UFt9v7EPpzSRS7VDOOxtvTGNN5dgtbi/YNTsQFoX DOwDCQCbuPSXOcYuh4O/DHyxxPBgPAijIpfBers/2CopfYFVpz/t6YeYXRPGSrDp5Nv+0scJ faauJt7c/eqh/N1l+3WEiwiPDW2dtYlBHmAnmDoOx4ytMz0xjldjK5c83C697prZlionuncH yX1xXK1WdjsiKkQujwi1Yaneyz2bmeuW10qSuqVHlbsOFmyDamrLMlWI/IYjBjnLtsoHW7ua zcj1MztxrnNS67OIb3urNyFTZlbxLqo9NlnFcbt47S34fOqojYqCo2olx3V6Xhtnnh/ML0Ma av4yN3JjqvqBJr+H6a+re7XLL99ePqmtSn/A1VsnYpUZ1Z+ytA3uKlD91clyr6o9gnlXeyL8 Aa/koveJbQSPDwVlhrvEohmsXe/A1af2nmGdNWh9TadkeyUhqIV5v4e3KX+DVAk3RgZT2+D6 4XZYrfdj1BgnHdHb9ThOe+XB2o/Cr07f9HTathlHqNrx1iwTZefG95d2KRxl1CGaLM+2Qon+ 2ZVSEuewGO/AeHwmUmvvIlEqKmyT5vYxFUCVfW/fA12SxSgVDaZJtF2FGI9zkRQHkPKcdI7X OKkwJJN7Z5EAvBbXHNTREAhytLaZVe73oDOK2Xeo6w5I71MJKchKU0egzopBrWsDlPv9cyAY 4FZfK93KMTWL4GPNVPecD0BdINGC0BzLXwIfVZvxcdAp+RF7dNSZq31ItycpXZJ6V8rE2aRg Li0aUodk6zhCQyT3u9v67Ow4dS65kA2tEQmOLIuI1onuFjAzOLAJ7TYHxOir151khgDQpdSm BO1zbI5Htd6zSymp3I2TV+flwuvOoiZZlFUWdOjgykYhQcxcWje0iLabjlgV1Q1C7QVq0K0+ Ab5mSTbsRzSVbcLeQNK+qzJ1oH3Gnr31yn5uP9UCGS+qv+ai8Nsl81FVeYW3xWr5xB9ByLFl F7jTkQEgYi8Mt/Tb4e0gxdLVckXKqVaGtK04TJ8okilNnMPQo8kqzGewgGJXnwDvmyCwT2UA 3DXo6eEIaYX7KCvppBeJhWcL9RrTRvVJ12sflJTNdEmNk/hy6YeegyHHnRPWFcm1i229S8Ot VsGKXOVpomn3pGyxqDNBq1DNsg6WiQc3oIm9ZGIvudgEVAu5IEhKgCQ6lsEBY2kRp4eSw+j3 GjR+x4dt+cAEVjOStzh5LOjOJT1B0yikF2wWHEgTlt42CF1szWLUpKbFGKuyiNnnIZ0pNDQY 2+12ZUlW6WMsyfgEhAxMJVF46CBiBGmDgwnzLGwXPEqSPZX1wfNpulmZ0T4jEtnUZcCjXBUp 2cNZNIrcX5GhXEXtkSyWdVo1aUwFqDwJfAfarhloRcJppaNLukvIEuscEZoFRIQ+nQd6kJsw 9VlWKcmYuLS+T0rxkO/NnKW3Ocf4J/14w7LUo9td0I4gTMu5MFHUG2Ajk36ncJ0YwGWMPLlL uFgTpz/9F48G0C5gBueRTnS9tKuswaHRyS2qoXvffzOsTA+5YL/f8Bc6l00UvlzHHL30Iiy4 Xxa0Z1i8WpLoIolZ2lUp6y4nVgitgTBfIdiN0sA6J0xjE3HSxrhBG/uhm1uduImpYs+2dtJS b0NjEaALqJWdbrS1jFDnRNipcyHo4g5+TNpBgjQPpt4+P00Paf8pmq33LzyYzIkcSFyRfYDB RkTTBd1/iGYTRL5H5r4B7RpRw2X0Lm3AOvQvS3jibAcEn3vfCUBVgBCs/kpGw83u8fEQ9iw8 utJop4ciFfczMDdP66Sk5/uZG2kNbzxd+JjuBd3g7qIYX+QOgUGFYe3CVRmz4JGBGzUetQs8 h7kIJbOTyVq/S01rInkPqCsgxs5mvWxt5Tu9ekp8HT+mWCJFD10Rya7c8SXSjkuRRQHENkIi P8eIzMvm7FJuO6gda5QKslNtKyVWJ6T8Vax7W7THsCwjBzD7lt2ZbMmAGW5I8TGJE2w46nCZ pqxKtQA8uIxwNrAG7ESr9ejmSVnFqftZ8PZSfQk9semJ6L0StDe+t83bLVwNKOHGtiNPgtYN mPVkwphZx6nEEVbVPktJeZNG/kTcmLdpSm09w4h8e/AXxt6zs3Mc4it2u6D7XDuJdvWDFPT1 STxfJzlduiaykUm4WkC3WnlLusMcQ7H9IU9PdanPiBoy2ebRsRriqR8k812U+6oPzCccPRwK Kj8k1TZQa5TT9HGiJo9CK2Y5aVmcGTa919Kot3IOBiL2r09P3z48fnq6i6rzaNirN08wBe3t 9zNR/jdeF6U+TVMro6yZkQ6MFMzA01HOqqHamUhyJtLMYAQqmc1J9Yd9Sg+peu7cpBnTJlqV NcrdcTCQUPoz3Y/mTIvZqe3Te54030vaqz/mJo3w/L/y9u7Xl8fXj7Qt8jbqB5jnBUGXXDw3 s+r4oA+/YQ522eR8UtJVb/idL2kiQ+cUZvyKQ5OtnHV7ZPmmAyqP1L46DGb6iR4joo7nGyJF XkZu9njUXmq4HtO1D84x6WB69365WS7c5pzwW3G6+7TLdmtSE6e0Pl3LklkWbaZ/DRxsFl28 47754K5uCtRfkxZsBM0hn4I2Oapsz4bQTTebuGHnk08leHEAHy3gD03t0PCzhjEsbE3VSGhg Fc+SS5Ixq3hUpX3AHDsMxankyG0E5nbxVa+4m7lVuQ8GWh3XJMtmQrkK3yPT+BsqTE+4Pi9c Lpkh1POwPtKeY+j1hhu0Bod/Anpca+jQ2zBDy+BwibINF1s2Px0AqooeYTs0/LPy6Bk4F2q9 WfOhuOFvcPNpoVq7A+H7m8SUWUlVzNTcxzDC1+2Ap27XRBc5GiwRMG/Yc674/Onl9+cPd18/ Pb6p35+/kenWuA9rD1qdlUgEE1fHcT1HNuUtMs5B71j184beAOFAeli5wjsKRMcuIp2hO7Hm ztSdfa0QMPpvpQD8fPZKWuMo7XmtKeHYpUGT+99oJbwmSn5J1gS7XvXHA04sUJwC8DsJ3AvF FRsaCOGkv/WYlWWIoSaeayFhm+qWGpwEumhWgTpRVJ3nKFfLCfNpdR8u1owsZmgBtMeMW1VK LtE+fCd3TMUbf7HEP+tIxrJa/5ClxwgTJ/a3KDUtMBJiT9N+OFG16t2gDT8XU87GFPBkejZP plNKNffTY2hd0XEe2o4fBtw1ukIZfssxss7wQ+yMyDby84vHZEOlwS4rxgAnJUaG/Ss35tS2 DxNst92hPjsqHkO9mIethOhfuzoqFuMzWOazeoqtrTFeHp9geUbGo+cCbbfMcihzUTfMHgBF nql1K2Hm0yBAlTxI567DHIvskjova6oxALONknCYT87Kaya4GjdPVkDxnylAUV5dtIzrMmVS EnUBDgh1Dwm8TmQR/DtfN03uq89fmcPyG1ul+unL07fHb8B+czer8rhUuwpmSIJBHH4XMZu4 k3Zac+2mUO6IFnOdeyY5BjjTxUUz5f6GoAysc6s9ECBF88zg1I8li5JRryDkoHzDl0g2dRo1 ndilXXRMohNzggfBGP2YgVKrWJSMmel7pPkkjLaNBDNANwINCj5pFd0KZnJWgVRLyRQb+nND 90p9vfUfJUCp770VHtLdZ7AD1CYJuZB8vZvNyu2OYMLMt7rhZ7uLoY9KiuuSSlfTjWCiKfMh 7K1wc2s8hNiJh6YW8Nz8VmcaQs2kMW7fbicyBONTyZO6Vt+SZPHtZKZwMyOuKjO4Bz8lt9OZ wvHpHNTMW6Q/TmcKx6cTiaIoix+nM4WbSafc75Pkb6QzhpvpE9HfSKQPNFeSPGl0GtlMv7ND /Ki0Q0hm308C3E7JXH7O93Tgs7Q4aVNjWcqJ/BCsbZJCMptYWXGnYoB2eRRzZWqmc8Ymf/7w +vL06enD2+vLF9Cr1W6o71S43u+doyc9JQP+qtnzVkPxYpSJBdJNzew1DB3vpRZJp3X475fT bOM/ffrP8xfwXuSs4ORDtDk6bknTFuRuE7zMei5Wix8EWHK3TRrmxD6doYj1tTc8wzL266bN 8I1vdWRAV8VjhP3FzIHwwMaCac+BZBt7IGeEWU0HKtvjmTnjHNj5lM2+ghHDDQv3RyvmQGlk kcNIym4d3aiJVRJMLjPnlncKYOTY2fjzW6bpuzZzLWGfWFjua20B1XWxzcvBjVqgwX0xu5MA oykTOeMJXG1s7ZyZi6FYXNIiSsG0gpvHQObRTfoScd3HmGh07vlGKo92XKI9Zza9MxVorlbu /vP89sffrsyiPKWiKxxl14mrW+5sFsoTuM99MN1cs+WCqseOXyN2CYRYL7jBoEP0qkvTpPF3 +wxN7Vyk1TF1tNEtphPcJmdks9hjKmGkq1Yyw2aklXwr2FkZArUr7tpJw/r0C/wk89OJFYa9 7DM83AGo7UbFZmMer/LJ95zZ480c51rhZqbLttlXB4FzeO+Eft86IRrufEfbI4K/q1Ea0PXq mnQY9+pZZqqe+UL3pd20w0/fOwrDQFzVFuG8Y9JShHAUWHVSYMhqMdf8c7r/mou9MGCO1BS+ DbhCa7yvG55DhgpsjjsXEvEmCLh+L2Jxnrt+Bs4LuGsbzbDXS4ZpZ5n1DWbuk3p2pjKApZrv NnMr1fBWqltuBRyY2/Hm88QOpS3mErKdVxP8111CTnxQPdfz6HMETZyWHtV4GXCPuQhU+HLF 46uAOUsFnKpx9viaahoO+JL7MsC5OlI4VXs3+CoIuaF1Wq3Y8oNo5HMFmpOZdrEfsjF28JqS WWuiKhLM9BHdLxbb4ML0jKguZafVdNnZI5LBKuNKZgimZIZgWsMQTPMZgqlHuCnOuAbRBCdQ 9AQ/CAw5m9xcAbhZCIg1+ylLn76aGPGZ8m5uFHczM0sA17ZMF+uJ2RQDj5OkgOAGhMa3LL7J 6FOJkeDbWBHhHMFtByK5CjK2sK2/WLK9QhHINfdA9HopM10cWH+1m6Mzpvn19TpTNI3PhWda y1zTs3jAfYi2ScBUIr8T6G2hs1+VyI3HDVKF+1xPALUn7gZ0Th3K4Hw37Dm2Yx+afM0tOsdY cI8QLIrTU9P9l5u9tIsEcG/ATTupFHArxOxws3y5XXL76qyMjoU4iLqjyqHA5qDjz+lg6L1w yKnCzGulGIbpBLeUPTTFTUCaWXGLs2bWnL4NEMj+BWG4i13DzKXGSnp90eZKxhFwfeytuyuY MJm5U7XDgAZ5I5ijb7Xv99acZAfEhr4etQi+w2tyy4znnrgZix8nQIacxkJPzCcJ5FySwWLB dEZNcPXdE7N5aXI2L1XDTFcdmPlENTuX6spb+HyqK8//a5aYzU2TbGZwOc/NfHWmBDam6yg8 WHKDs278DTP+FMzJlgrecrmCk20u18ZDrhARzqbD67EZfKYmmtWaWxvMxTaPc6c1s6oSoDw3 k86KGYuAc91V48xEo/GZfOkr1gHnhLy5o8te2XK27kJmgZpXJZbpcsMNfP24jz07GBi+k4/s eLzuBAB7/51Q/4UrPubsxrrFn7shn1HpkLnPdk8gVpzEBMSa28f2BF/LA8lXgMyXK26hk41g pTDAuXVJ4Suf6Y+g/rvdrFn9sbST7NWCkP6K26ooYrXg5gUgNvQV90hw2uyKULtdZqw3Svxc cmJpsxfbcMMRWmFepBG3VbVIvgHsAGzzTQG4Dx/IwKMvjTHtGJdw6B8UTwe5XUDuQM2QSkjl dsuDTi/HmL3cDMOdd8yelM8ekJ9jobYBTB6a4I7zlNy0Dbgd3jXzfE6Mu+aLBbdXuuaev1rw rzSuufv8scd9Hl95szgzikY1KgcP2ZGt8CWffriaSWfFDQWNMw03p1MH13jcqg44J0xrnJk1 uedkIz6TDrcL1NeKM+XktkWAcyulxpmxDDi3Gio85PYoBueHbc+x41VfgPLlYi9GuSd7A84N K8C5ffrc0waN8/W9XfP1seV2cxqfKeeG7xdb7t2BxmfKz21XtVbmzHdtZ8q5ncmXUxvV+Ex5 OHVhjfP9estJz9d8u+C2e4Dz37XdcGLL3NW5xpnvfa8vxrbritq3ADLLl+FqZse84eReTXAC q94wc5Lp7LuzPPPXHjdTzb+ygScqLl6AL3RuiBSccaSR4OrDEEyZDME0R1OJtdrmaM9Bky0/ dNOHohhBFx57sPdSE40JI/kealEduXd6DwUYyEePJccX4IMBkzR2dXSOttKw+tHt9NXpA2iR JsWhsR54KbYW1+n32Yk7WbQwyk9fnz6Al3bI2Ln0hPBiCV6ecBoiis7agxSFa/vbRqjb71EJ O1Ehv2EjlNYElPZrYI2cwegFqY0kO9nPagzWlBXki9H0sEsKB46O4BWLYqn6RcGyloIWMirP B0GwXEQiy0jsqi7j9JQ8kE+ihkk0VvmePX1o7ME89Uegau1DWYCjsAmfMKfiE/DdTb4+yURB kQS9rjFYSYD36lNo18p3aU37274mSR1LbLjG/HbKeijLgxplR5EjW4iaatZhQDBVGqZLnh5I PztH4OoowuBVZMhDKmCXNLlqE0ck64faGAVFaBqJmGSUNgR4J3Y1aebmmhZHWvunpJCpGtU0 jyzSNmcImMQUKMoLaSr4YncQD2hn2xhDhPpRWbUy4nZLAVif812WVCL2HeqgpCIHvB4T8GlC G1ybuM/LsyQVl6vWqWlt5OJhnwlJvqlOTOcnYVO42yz3DYFLeC5IO3F+zpqU6UmF7fTJAHV6 wFBZ444Ng14U4FEpK+1xYYFOLVRJoeqgIGWtkkZkDwWZXSs1RyEPIRbY2abUbZzxpmDTyCcD IhLbYbTNRGlNCDWlaLd1EZmutN3dlraZCkpHT11GkSB1oKZep3qdZ08aRBO39iFLa1m7OAJ9 YxKzSUTuQKqzqiUzId+i8q0yuj7VOeklB3CxKKQ9wY+QWyp4FPWufMDp2qgTpUnpaFczmUzo tAD+5g45xeqzbHpzqyNjo05uZ5Auusp2vaFhf/8+qUk5rsJZRK5pmpd0XmxT1eExBInhOhgQ p0TvH2IlY9ARL9UcCg6KbZVaCzc+JfpfRMDItIehSemakY+04HSWO15aM6acnEFpjao+hDE2 jBLbvby83VWvL28vH14+ufIYRDztrKQBGGbMscg/SIwGQzrjagPNfxVoypmvGhOgYU0CX96e Pt2l8jiTjH7yomgnMT7eaFDNzsf6+PIYpdh5FK5m502CNtpF3iFoE2E1LHhCdscItxQOhozI 6nhFoWZreIkF1ky1iWo5tGr+/O3D06dPj1+eXv78puu7tyaDW7S3HzdYQsfpz5l91h/fHByg ux7VLJk56QC1y/TULxs9MBx6bz/f1TbG1IwPat6Hg5oKFIAf5hnDak2pZHS1ZoHRHXBy6OOu SWr56lToVTfITuxn4PEJ3DROXr69gR32t9eXT5/ATwc3SqL1pl0sdGOidFvoLzwa7w6gMfXd IdBzsAl1XpJP6asq3jF43pw49KK+kMH7Z5gUJq8XAE/Yj9JoXZa6tbuG9AfNNg10W6n2PzHD Ot+t0b3MGDRvI75MXVFF+cY+vEZsiS6aMFWntPuMnOpxtHImruGKDQzY1mKouRpN2oeilNzH XjAYFRK8oGmSqccj611Fj7r27HuLY+U2Xiorz1u3PBGsfZfYqyEM9nscQglcwdL3XKJku015 o47L2TqemCDyketZxLotUNo9IZjhnJ44ZSfpRDbXckMjlU4jlbcb6cxWk0YH+/pFWWi/SccI p3xGE4VLGU+bhAA7pk52Mgs9pglHWPWLkqx8mopILdShWK/BD7STVJ0UiVTrn/r7KF36ytbC 8SqYLpq3XHeDUu6iXLiopIsCgPD6lzxrdor5y+dpWTDumu6iT4/fvvGSk4hIy2pHBgnp49eY hGry8bCsUMLr/77TtduUaqOZ3H18+qoklG93YDMukundr3++3e2yE0gCnYzvPj9+HyzLPX76 9nL369Pdl6enj08f/5+7b09PKKXj06ev+g3K55fXp7vnL7+94NL34Uj7G5C+E7cpx05wD+i1 u8r5SLFoxF7s+Mz2av+CRHubTGWMLuZsTv0tGp6ScVwvtvOcfYdic+/OeSWP5UyqIhPnWPBc WSRkl2+zJzA9xlP9OZyay0Q0U0Oqj3bn3dpfkYo4C9Rl08+Pvz9/+X2wmovbO4+jkFakPshA janQtCKGYAx24QbshGsrC/KXkCELtXFS84aHqSPyE9wHP8cRxZiumDfnQMv6BNNpsh5hxxAH ER+ShvH+N4aIzyJTYk6WuHmyZdHzS1xHToE0cbNA8J/bBdISu1Ug3dRVb4/p7vDpz6e77PH7 0ytpat13zkVLVjmNN+o/6wVdUTWlnebhffLIiTxYtQwey4oLTp6N2cmodOA0PBvNg+V6us2F mqk+Pk1fosNXaalGVvZANjHXiCztgHTnTNuERpWsiZvNoEPcbAYd4gfNYHYNd5Lbvev4rmSq YU60MGUWtGI1DOf92ILVSE32vRgSbIToayaGIwPRgPfOlKxgn/ZywJzq1dVzePz4+9Pbz/Gf j59+egUXV9C6d69P/+fP59cns3s1QcYHk296PXv68vjrp6eP/ds5nJHa0abVMalFNt9S/twI NilQyc/EcMe1xh1nQyPT1ODkKU+lTOB8cC+ZMMb+CJS5jFMiyYEFpzROSEsNaFfuZwin/CNz jmeyMDMtokDo36zJ+OxB58CiJ7w+B9QqYxyVha7y2VE2hDQDzQnLhHQGHHQZ3VFYaewsJVJG 03Og9hXEYeO15XeG4wZKT4lUbaN3c2R9CjxbX9Xi6KWiRUVH9ETGYvTZyzFxhBzDgpK5cTeb uCcpQ9qV2sO1PNXLHXnI0kleJQeW2Tex2qrY75Et8pKiI1CLSSvb4L1N8OET1VFmv2sgO7px HMoYer79PANTq4CvkoN2FDxT+iuPn88sDvN0JQow336L57lM8l91Ak/EnYz4OsmjpjvPfbV2 3MszpdzMjBzDeSswZOsee1phwuVM/PY824SFuOQzFVBlfrAIWKps0nW44rvsfSTOfMPeq7kE TmlZUlZRFbZ0Q9BzyO4hIVS1xDHdb49zSFLXAnwCZOiS3Q7ykO9Kfnaa6dXRwy6ptcNBjm3V 3ORso/qJ5DpT08YsGU/lRVokfNtBtGgmXgvXIEpe5guSyuPOEV+GCpFnz9nr9Q3Y8N36XMWb cL/YBHw0s7BbWyR8hM4uJEmerklmCvLJtC7ic+N2toukc6Za/B1JOEsOZYPv3jVMTziGGTp6 2ETrgHJw40taO43JdTeAerrGShn6A0BBBvxbwyk7/oxUqn/AtTUPg7sT3OczUnAlHRVRckl3 tWjoapCWV1GrWiGwNs6GK/0olaCgj232aducyZa0d/axJ9PygwpHD4ff62poSaPCKbb61195 LT0ukmkEfwQrOgkNzHJtK23qKgBbU6oqk5r5lOgoSonUW3QLNHSwwukdc4gQtaD2RLb+iThk iZNEe4Yzkdzu8tUf3789f3j8ZHaKfJ+vjtYOq7cIcbZP0YZtxxh6ZIqyMjlHSWqdYw8bPeMZ ByfWcyoZjGtl8oDkDGmDJ+XusrM3po04XkoSfYCMOMq5/R3ky2BBBK78om+/MNZK/Kmmn4K1 IAfut54E0Uo//cKJ7kln2gR9sxaUST0Y4ZnZrvQMu2GxY6mhlCXyFs+TUPmdVgX0GXY4pyrO eWecHksr3Lh6jQ6Vp7759Pr89Y+nV1UT0w0cOWV1jviNNxHo6GSikxolw3wPA5nOwMPlBj1v 6g61iw1n3ARF59tupIkmcwhYwd7Qo5SLmwJgAT2fL5jDOY2q6PpmgKQBBScVsoujPjN8jMEe XUBgZ88p8ni1CtZOiZW04PsbnwW1CaDvDhGShjmUJzLRJQd/wQ8DY9iHFE3Pod0FaWoAYRx+ m/NLPBTZLoin9h34RQLbpHRpde8A9kqK6TKS+TAEKJrAGk5BYtC2T5SJv+/KHV3r9l3hlihx oepYOrKdCpi4X3PeSTdgXSjJgYI5WDRnrxX2MK0Q5Cwij8NAOhLRA0PRgd2dL5FTBuRV2GBI eab/fO6mZt81tKLMn7TwAzq0yneWFFE+w+hm46liNlJyixmaiQ9gWmsmcjKXbN9FeBK1NR9k r4ZBJ+fy3TsrjUXpvnGLHDrJjTD+LKn7yBx5pIpVdqoXesQ2cUOPmuMb2nxYwW1AumNRYTvF elbDU0I//+FaskC2dtRcQybW5sj1DICdTnFwpxWTnzOuz0UEO8p5XBfk+wzHlMdi2TO7+Vmn rxHj+JFQ7ISqva6zchc/YUSx8ZjHrAwHY52QgmpO6HJJUa0izIJchQxURA98D+5MdwAtJGPY 1EHNN51mTmH7MNwMd+iuyQ65QGweKvtttv6penxFgwBmCxMGrBtv43lHChvBzXeSqKSSacLW 3uI0378+/RTd5X9+env++unpr6fXn+Mn69ed/M/z24c/XPVBk2R+VpuRNND5rQL0puf/JnVa LPHp7en1y+Pb010OVyDOBswUIq46kTU50lw2THFJwcnoxHKlm8kEiaRK+O7kNW3o/jJLtJdl sqXQGxi05zpfd+gHqGtgALQ6MJJ6y3BhiXR5bnWU6lrL5L5LOFDG4SbcuDA5m1dRu512R+9C g5LjeCkttdtW5O8aAvcbdnMZmUc/y/hnCPljzUCITDZjAIk6V/+kOBPtnSXOMxy0t9wcQw1g Ij7SFDTUqS+AM38pkfrmxFc0mpoxy2PHZ6C2DM0+57IBk+m1kPapESbRtgxRCfw1w8XXKJc8 C09eiihhKaNrxVE6M1AR4si4vLDpEQ29iZABWzTsfsKqvVZcgjnCZ1PCSnAoZ7xFmqidWjRO yNrnxO3hX/vw0+ooVV2Sr+lviFsOBT+CSMqwykbGC77KHpDuKDEIR+7kW/XW3RkaJpdcko6I dED1OE33StKNSaiLW+xDmcX71H7Mo7OpnHzN2IhIwZtc2xupExd2Cu5+iqqvBwlt6Xal1HLU 5/CuEWBAo93GI817UYuBmTEQHF/pb250K3SXnRPiUaFnqDJCDx/TYLMNowtSxOq5U+DmStsX 3AI67pR64j0duHqqSslwu5zxGY6uL2ceueYNDaLqfK3WPRJ1UFlz58qeONvnirpYWJtGt8y9 M0M3pTymO+Gm23uqJT23OTk9BIZ7rabIhuavqTYpSn5CdkakwUW+ts155IlKOUVrZY9gtfj8 6fPL63f59vzh3664MkY5F/oSrE7kObc2gblUE4+zJssRcXL48TI75KgnAFt+Hpl3WpWt6IKw ZdgaHYJNMNsNKIv6gn7CoI+j6+SQSrTjgxcb+FGbDq19KpMUNNaRB4ea2dVwq1HAtc/xChcH xUHfMOpaUyHc9tDRXFPRGhai8XzbzoBBCyVXr7aCwjJYL1cUVV13jcyRTeiKosRGrMHqxcJb erbpL41nebAKaMk06HNg4ILIou4Ibn1aCYAuPIqCXQGfpqrKv3UL0KO63UnjaohkVwXbpfO1 Clw5xa1Wq7Z1ng+NnO9xoFMTCly7SYerhRtdidS0zRSITB5OX7yiVdajXD0AtQ5oBLB647Vg pqo50yFALeJoEMyQOqlo26T0A2MRef5SLmxjIqYk15wgaqSeM3wRafpw7IcLp+KaYLWlVSxi qHhaWMfGhUYLSZNsIrFeLTYUzaLVFhmWMomKdrNZOxVjYKdgCsb2SMYBs/qLgGWDlm8TPSn2 vrezJQmNn5rYX2/pd6Qy8PZZ4G1pmXvCdz5GRv5GdfBd1ozXDdMUZnxCfHr+8u9/ev/SW9n6 sNP887e7P798hI21+1jy7p/T89N/kUlwB5ewtPXVvLhwJqo8a2v7pl6DZ5nQLiJhC/xgH+6Y tktVHZ9nBi7MQUyLrI0txrESmtfn3393J/L+eRxdRIZXc02aO4UcuFKtGkh1HbFxKk8zieZN PMMc1W6l2SEFNMRPb795Hjyn8imLqEkvafMwE5GZV8cP6Z836prX1fn89Q10Rr/dvZk6nTpQ 8fT22zOcjNx9ePny2/Pvd/+Eqn97fP396Y32nrGKa1HINClmv0nkyOYuIitR2AeUiCuSBp7o zkUEEyy0M421hQ+AzQFAukszqMHp4t7zHpQAIdIMrMmMt63j2V+q/lso+bSImUO/BIwdg4+5 VAmPUW0/6NSU8/I1Qb7GdRhz7gz7H/twX1PkmMQEB3UJqUSGhKRzVF1KFfPU5TSHkcl8wki1 damkbQxFwy0cGhPMPmzVANZ1NjVhnlKNYN2Ah06rUgBQK8ByHXqhyxihD0HHSG0PHniwf+n7 yz9e3z4s/mEHkKB3Yb8Ds8D5WKS2ASouuT7V1yNGAXfPX9S4+O0RPRSBgGqLuqdNOOL6TMGF zdNzBu3OaQImijJMx/UFnbrB028okyPcDoFd+RYxHCF2u9X7xDYtMDFJ+X7L4S2fUoTU0gbY 2aiN4WWwse1MDXgsvcCWAzDeRWrOOdcPbk0Bbxtfw3h3tf3RWdx6w5Th+JCHqzVTKVQ4HHAl Yqy33Odr2YP7HE3YVrMQseXzwGKMRSixx7ZKOjD1KVwwKdVyFQXcd6cy83wuhiG45uoZJvNW 4cz3VdEeW2dExIKrdc0Es8wsETJEvvSakGsojfPdZHcf+Cc3SnPNtn6gtmfuqKWWQMdiiSy3 Dc6OEeC+BhkUR8zWY9JSTLhY2HYlx/aNVg378VLtEbcL4RL7HHumGFNSY53LW+GrkMtZhec6 dZKrzTTTdeuLwrkeegmRj5vxA1Y5A8ZqYgiHWVJJo7dnSWjp7UzP2M5MIIu5iYr5VsCXTPoa n5nYtvzUsd563KjeIgdMU90vZ9pk7bFtCLPAcnYyY75YDSrf44ZuHlWbLakK28vX96lpHr98 /PFCFssAqehjvDtec1u5FhdvrpdtIyZBw4wJYl2vm0WM8pIZx6otfW4iVvjKY9oG8BXfV9bh qtuLPLUN2mHalloRs2UfGFlBNn64+mGY5d8IE+IwXCpsM/rLBTfSyBkGwrmRpnBu8pfNyds0 guvay7Dh2gfwgFuMFb5ihKBc5muf+7Td/TLkhk5drSJu0EL/Y8amORPi8RUT3pwhMDi+SbNG Cqy0rNQXsGKcUXd28eIcsXLP+4fiPq9cvPd3NUzWL19+Urvf2yNNyHzrr5k8eh+dDJEewEha yXx5mrcxE0Pf7rkwvjE4ikuiryAV7c4+6FZ0XPeqbcC2i9qFctVmn3SPXaReelwaVcaLGBkr E8BVdK0qkm1cxUmRM/18sltKC9Xw/UGei3XKVA6+GRpFmHa5DbjhdWEKWeciFujqYuxU9FJ8 FGsa9RcrwETlcbvwAq6mZMN1XHxoPy18Hr5zHwjj84rbQET+kougCHw2OGach2wO5Hp+LFHL tJYCuwszK8niwixiKdxyM6nABb8sOaKB4jPZli1SIxnxZh2wG5lms+b2GOR4YpxTNwE3pWpt EabF+Rasm9iDw1qny45nG6OJYPn05dvL6+3JyrJ3B6eQzIhybt1j8E81mDBzMHpKYTEXdB0J tgFiatNCyIciUsOsSwp4kKuvyookGxSc7FRVkAN4gEfYJa2bs359q+PhEsID7OlYLWsS8C8t D8iNrcjhpjdbhFYNiwZcidnnYgppCdKm5PYfFDykSqwWtv5eP469EJfMuUoGkI7JAQsJBpNz SzHwke5Aaxu6MoU28z/WWYEXGAmqJEDuEZLmB7BF0hGwdQGJEWPpT2FrS746BTieGqxeaIoF drGnS+loT0qW51VXEY2bCrz62ogaoKV1wwsvgnCANuhS+4y7B7q0vpe/LAe02FX7vganApTX DAMV2M5FQKa24DjDqhUY0B5ysHvlJgFgaW3e4QkdCQNqZzihAUL1ZtAch6zqmGQZ6Knf9JAx 3OCoXlQ7nJUhPMWgVNSsssPpjh6qc9z39KyJg/ZenjnMCGyYek+C5s2pO0oHinDf1Tp8O5F3 LnqEntnlB1sFZSLQWIJvIepFPeoGQ6oJR3nGOQ+PjHDz6F6UqHLaD8F61IobiZpkar1ZIow8 49+gflxVqW22oHd7jycSLGU2egRoQVlNd7U9vUefnsE9OjO9o29TP/Dryml2N7PnlOTuvHfN Y+pE4QmcVTFXjVq6ryay/WSPJDeW8dwOD2jH2Md4iafdk1RSWkh/a3NJvyz+CjYhIYjZS5gu hYzSFD8PPjbe+mTvcpQMCUtZjcwt98/24VYqsXTd9c/xTf+CwHWpK2iFYaOJArsJiZ58GHYH ZiEH7h//mHbUfZG6XaaW1D276baDFMyW2+KNwgzO21ow+8+fpg/0jgp0+my9MgCqfiegpmtM xHmSs4SwFd0BkEkdlfYtg043St0NBhBF0rQkaH1G9gEUlO/XtjeKy15haZnnZ60Q7hFGCTL3 +xiDJEhR6uhTzWkUzS0DolZB22jpCKvlt6WwY95QwyAX0XT7kGo7k7VJLNoDzG11gl6W4ZAi j9vDLrkdSMlC+yxp1V9csBzdto7QcGs2MUoSVAJsekHX7oCiitS/QWXiTAORmhwx5x1OT+1E lpX2przH06I6N26OOVcMraKag8nxxDUT/OH15dvLb293x+9fn15/utz9/ufTtzfr9cM4sf0o 6CQGCDXHWrJ6Vacy97FunFrtEvsEwvymUv6Imlt8Na8qGeZ90p12v/iLZXgjWC5aO+SCBM1T GbnN2JO7soidkuGlpAeHaZHiUqqeU1QOnkoxm2sVZcjLlgXbA9yG1yxsn4ZMcGi79LBhNpHQ 9no4wnnAFQVcMqrKTEt/sYAvnAmgdvzB+ja/DlhedWJkjdCG3Y+KRcSi0lvnbvUqXK2jXK46 BodyZYHAM/h6yRWn8cMFUxoFM31Aw27Fa3jFwxsWttUdBzhXQrtwu/A+WzE9RsCcnZae37n9 A7g0rcuOqbZUvzXxF6fIoaJ1CweVpUPkVbTmult87/nOTNIVKWyf1U5h5bZCz7lZaCJn8h4I b+3OBIrLxK6K2F6jBolwoyg0FuwAzLncFXzmKgSe8t0HDi5X7EyQjlMN5UJ/tcLr0Fi36j9X 0UTH2PZabbMCEvYWAdM3JnrFDAWbZnqITa+5Vh/pdev24on2bxcNe2506MDzb9IrZtBadMsW LYO6XiOFAsxt2mA2npqgudrQ3NZjJouJ4/KDE9zUQ89EKMfWwMC5vW/iuHL23Ho2zS5mejpa UtiOai0pN3m1pNziU392QQOSWUojcNwTzZbcrCdclnETLLgV4qHQb0C8BdN3DkpKOVaMnKSk /tYteBpV9H3wWKz7XSnq2OeK8K7mK+kEioFn/JR5qAXtjUKvbvPcHBO706Zh8vlIORcrT5bc 9+RgQ/regdW8vV757sKocabyAUdaZBa+4XGzLnB1WegZmesxhuGWgbqJV8xglGtmus/Rq/Ip aSX/q7WHW2GiVMwuEKrOtfiDXruhHs4Qhe5m3UYN2XkWxvRyhje1x3N6C+My92dh3IiJ+4rj 9enUzEfGzZYTigsda83N9AqPz27DG3gvmA2CobRzc4e75KeQG/RqdXYHFSzZ/DrOCCEn8y8o mt6aWW/Nqnyzz7baTNfj4Lo8N6ntNatu1HZj658RgspufndR/VA1qhtE+GLS5ppTOstdk8rJ NMGIWt929k1guPFQudS2KEwsAH6ppZ+4CqgbJZHZlXVp1mu7+fRvqGKjz5qWd9/eemvs44Wa psSHD0+fnl5fPj+9oWs2EadqdPq2algP6YudcctO4ps0vzx+evkdDCh/fP79+e3xE6i7q0xp Dhu0NVS/PfuRh/pt7DFNed1K1855oH99/unj8+vTBzgnnSlDswlwITSAH+cOoHG/TIvzo8yM 6ejHr48fVLAvH57+Rr2gHYb6vVmu7Yx/nJg5ddalUf8YWn7/8vbH07dnlNU2DFCVq99LO6vZ NIzDiKe3/7y8/lvXxPf/9+n1v+7Sz1+fPuqCReynrbZBYKf/N1Pou+qb6roq5tPr79/vdIeD Dp1GdgbJJrTnth7AnrMH0DSy1ZXn0jdK6k/fXj7BQ6Eftp8vPd9DPfdHcUc3YcxAHdLd7zqZ b6jPhSRvR9sj8uvT47///AopfwMT59++Pj19+MO6bqgScTpbU1QP9K57RVQ09lTvsvYsTNiq zGwXqoQ9x1VTz7G7Qs5RcRI12ekGm7TNDXa+vPGNZE/Jw3zE7EZE7IOTcNWpPM+yTVvV8x8C tuJ+wU77uHYeYuf7uCsu9sWA+iItmxMYrOmUGusq+5mgQbBFWIOJ98ibvDmG7WDdFfaxcpyU nciy5FCXXXyxPgxUVUFjYGFrw5rwcR6sV92l2ieUOWpvmzw6veQn2cONvSnX8Crrf+Xt6uf1 z5u7/Onj8+Od/PNX1zPJFDeSKc1RwZseH5viVqo4tjFrcYntBjAM3EouKWj0uL4zYBclcY1s j2oboBdti0d/6reXD92Hx89Pr49334wmDV30v3x8fXn+aF9vHnPbyJYo4roEL8FICym1dYHV D/3wJ8nhWV6FiSgXA2otlyZT2nt0z7TeqDVJd4hztde35NZ9WidgvNqxk7W/Ns0DHMV3TdmA qW7t9mW9dHnt7dzQwXidOegIOSbNZLevDgLuEa3ptkjVB8tK1OhkPYfvzU5dmxUt/HF9b/vC VbN2Y88K5ncnDrnnr5enbp853C5er4Ol/cKmJ46tWp0Xu4InNk6uGl8FMzgTXsnzW8/W87Xw wN4nInzF48uZ8PYtvYUvwzl87eBVFKv1262gWoThxi2OXMcLX7jJK9zzfAY/et7CzVXK2PPD LYuj9wkI59NB+pA2vmLwZrMJVjWLh9uLg6u9zwO6eB7wTIb+wq21c+StPTdbBaPXDwNcxSr4 hknnqt+Mlg3u7XAj6gTd7+C/9OYTtLPiSgjLVuIIgZ0+aZmUuaYZPINbuAixGzTBtkg/osdr V5Y7uE+2FauQMyj41UXo9lZDyGKpRmR5tu/1NKZnboLFae4TCAmoGvn/WLuW5rZ1ZP1XvJxZ TB3xKXIxC4qkJMYkBROUrJMNK9fxyXFNbOU6TtXx/PqLBkiqG2jJM1V3E0dfN0C80Wg0usll 5q1cEuvaTae2dLwJjMBQ4o18Au3VbYRheevwU9OJoJbb5j7DFkEThbj0m0DryfUMYwX/GdyJ FQkZMFEsgWOCwcmzA7q+3Oc6dVWxKQvqEnsi0mfcE0qafi7NPdMukm1GMrAmkLp1m1Hcp3Pv dPkWNTWYX+pBQ22yRkPL4aCkHaR5lG3h2mAaacGBRRXq09cYEOnnvx7fkAg0b9QWZUp9rGqw u4TRsUatoGY8eBSVLuI8sp7wo1ooOgYHz5VHddCoGZos831HnpfPpL0sh0MzgM+vLmscBn1h X7WfSu23k0kP9gtKQIBA7RAFPXIYPmPxckbzeq+DiAtwNV5XTdX/0zubEeHEQ7tT4ofqZNbg iHBqNm1ouKuzjnsX73KvDDNaNMGblvaQjtesbQOudmDESepHUY2/40jRdw+dOsrhmQgJtRkW WfBuRa5V/e8WMNBhO6FkkkwgmXkTaKz2jN5KFu1NnonKNQMHdMgOqLuB2diTH5qVN6w8oiTn qIfwamrQX1/MQP1LtMEWub/69TxkSJtqkxGroRHQVUWOdUdU21M6vI2HBRGEei5qTc/t76ok qNfh5/Tts4LC6RFblEYlnYRrUWGXCvlW7TnlbDWFzVTMkyU6LCawE43cuLDKvxcuTIbbBKpB 3O/cz+nta4Vfb02Uw4opiG42vN7N39ROCiisFnxRwE64IZ70yrrO2t2RCZ5rXMEM210v6j2q 74gThXd9C/ZjakcFpc65H+HxFBxIRFcK2MSZw8pkm5Wfnp9PLzf599PDv27Wr+rMCNo4NMvO xxv7oVyVY7fQiBFuQrKeGLUCLEXiLSh0KI8mosxO5pSylcUtm7n7Ap8S1XEhYmnWA31E2VYx 8S2FSDJvqgsEcYFQRUSEpyTLwgZRwouU5YKl5EVeLhd8OwCNeDzANGkWacFSN2VTtRXb8nYw Z1xKvxGS2AkoUEdPCfnCw+MD9XdTtjTN3a5Tsg17uNYPkzhKvcu3bbbJOvZLthsATMISHsJ3 xzaT/MjO+TbVrxIa4UVLNtmqWMIjEDbpujoqYVWb6JAJkGlhRVIQnmjIaLFg0CWLpjaatZla i1ZVL4f7TtS1Als/2Qpr+oEIGsNzSgfVjl+5SlbUFcvEn/++affSxbed74KtFBzIcEpeBbOt 1OSL80Ow4MedpqeXSHG8uJRrvLxIcl2R0rXF97HbCrALVqhEc0z2+xXLjAgXy7baQRwk/OAn H9d3l3eO1Xp++VKplUxPxUniMjsB8oim1Zr9479u5Cln9wWtZIWgzexy3fugQ7hMUkOfOCFy Gapm8wEH6FQ/YNlW6w84QL1wnWNViA841DH7A45NcJXD86+QPiqA4vigrRTHJ7H5oLUUU7Pe 5OvNVY6rvaYYPuoTYCnbKyzxMuVXU0O6WgLNcLUtNMf1MhqWq2XUb2gvk66PKc1xdVxqjqtj SnGkV0gfFiC9XoDEC6KLpGVwkZRcIxll1LWPKp48u9K9muNq9xoOsddnUX7htJgurVEzU1bU H+fTttd4rk4rw/FRra8PWcNydcgmYBiLznPX13t2uYdrLOtpiENXRxXybsdhaJTwcYUstuR0 69Kvppbw3wKH/7NZkhWbPDtubF1pc1DHPSM5G/ea7wyFPLlFCboSSnG+VdOOFYZguaB79IxH PJ4ceTzl8aOgMIQdoMhtl1W9gnb5LRoo+n3opsBnMQ2pk3ees+1FHRVq5iwKoHMoqNtW5BK8 uSTE09JM7oSdk5asm+ICRaHoZX8m7oZNng/qjBdStGkcuBqZwwWWBas5C+wcDNCaRQ0vvqVT lTNojG2RZ5TU+4zavLWLFoY3jfFTDEBrF1U5mCo7GZvP2QUemdl6pCmPxmwWNjwyJ7jz5Njw KF9ZwDs8nUUYURh4SVtCBv2+g1tjJ48Nm4PYc7BRrzMEeD/L4bXIpHQIoqkGAQ5K1YAky415 ab0mE+FWSDkcc6zwhFmIAT2uzaNneuSZXkLbD/iAVjblwTohdZ8zz0KWMvVt9UuXZMsgC11w GTKcyzDgwIgDl2x6p1AazTneZcKBKQOmXPKU+1Jqt5IGueqnXKXSmAVZVrb+acKifAWcIqTZ It7AwxOqVNuqHrQzgJf0m7K1qzvBarva8KTgAgmimJrdYpBlzQ9NlVLNenIud6i94Klq7uDG RYoVJQbu8TtPExEENro4pOpMi0FJzXLchJGOSTuG8BZsSkPzL9PCgKXpclbr6mDrOzU2rPdR uBhEl+MTP3isQHk9E4LM0yReUILOkFoEzZCzj58p6rON7SjKpSZXqSkuuPlevidQdRjWHtym S4cULaohg65i8G18Ce4cQqiygX6z+d3CxIoz8Bw4UbAfsHDAw0nQc/iW5T4Ebt0TeC7sc3AX ulVJ4ZMuDNwUNNZeZuW3FG6GtBKN4NiL9QWhuYeXUmSvAnSO/4NPC/x1wZRsey9F1eq4Ku8u Zvt4OxOoGIkINNAVJlDPU1tZNsN+9JOG9Fjy9Ov1gQtxB27riVMlg4hut0LTWIeRUru/8XKP m1p2uaW0na7nLd5JZ2rjo0c9B5786TmEe+235gpKqrPu+6ZbqBljJaiOAhzjWOhkQ2nj6Lxy dIj6HBXb6K4D8z8bvK+dTxZOk5hp7oJqkm+lBZtRbYHGU56NtiJvlm6dR092Q9/nTrWNG8QL 3d6qUVFUcJbeO7RidYQSwNJJiEIuPc8pQtbXmVw67XqUNiS6qsl8G90HTGXVDOlKG50Uv85o aHU79mq4ZU7/jlUq140ldAA6+dCzcVHJPlNDaedQ1CIDrp2d1hTSwczkdqabwBcBWTd2m+Sw IQ5XVU8GsrayYQY4wofy0Mu+K7ENCXBs6t0qc0YwUEwyKZJF6JTXTqn29W1ZmM2a5HJYNtqQ tiK4DiovSCU0JB2kz1fjN93OM9JQk/duIxvRSt+CnZcNWaulw1nw9I2YOnE7AxNCBYyBGCS4 dMob9CFwWGXzg3zzQR5qXvmXqT2eWISodgDVhk49P4EWhjaknPqbFHdGaQEmGXWnRiXDTMpT ziOCKYje5GyQvyvX8yVrN7vh2Ge1QxJHdAW3TfQy0HQJg3mxAwp31QJz941whwjgvUCFNpXT vvBUy+e9u1qM/inRCM1V03vuQtVU9arM9v2MWxpJa+eek2Uq3Q57OFSzrNmi93La7h9YzlZv k3sewifqwF8YTne/U9tFd6/mAc0IxAFf1HvJ4BoabsE0TvuP+acfxc72an1t9ONI8prECIqq 8WQhABj/VqpN2oxYl5jrQiuBuVy0wLE5Lc8zRo8H6roKv4Mxe+5W2vUAEUcUuVNk8NSnMsBm reDCrinuLFbjS6raHdCwNhgxfDLQOe6LsWKE11pPDzeaeCO+fHvUsXdupB1aefrIIDY9OOi0 8z1TQNnzERnOwWsaGN3h00u7/JABZ3U2wfygWjTPySLp3YaN7SPorvptt9tvkHHWbj1YTrjG RMSfpGx4rrEKEuLeUHHZYj9jThCYaVZYKcwwM0k2GY7wgymSFkoAdmhkRlcGyjUhoOXTHbD6 HZpG/Zmaim5eVsFmaDgg9ZKeLBPn+E7w+fT2+OP19MA4ry2bXV/SSLiwYnG4bjqOcA9Pw5pA bcgEnqU8Ls2oyFfYdI9BSXfxIbpCyQopOLzBvuLOsMhY+D532NVG4n7yPm9Vt4iqxssJWy2w ua+rhtLQq0unJ0wP/Xj++Y3pHGphqH9qL342Zi5KILrb0KrdGwd1dhjI7YVDlfDqiiNL7FHB 4LMHuHP9SD3mNoFHCPBYahqQai99+Xr/9PqIvBYbwi6/+Zt8//n2+HyzU8f6P59+/B0eEz48 /aHWHifuKRzjRDMUamBWrRy2ZS3sU96ZPH08e/5++qZykyfGl7O5rsuz9oAHzIjqu7pM7rEF 4xQxWlUyr9r1jqGQIhBig5Odn6UxBTQlh2eVX/mCq3wcgzjzG+QfEI3Q2EUE2e52wqEIP5uS nIvlfv0sVKWeLsHZwejq9fTl68PpmS/tJFSYFxbvuBJT0Kjzbm6AQct9c2nY/M0T8KP4bf36 +Pjz4Yvan+5Or9UdXwg4W2z2PeoVQCDqsqCj+qMc59ec/HeMNJsffDoSyItNNz9QjPz114Uc jdLkrtmglWAEW23ZfDaPdrMZ4wufb9WZaTDKRVRSUgO1y4jBAKD6vum+I/GVe22Qai79z74U uU/qwtz9+vJd9eWFwWJuWNVOACFlipW104EP0gFfzePFTHY2LleVBdV1bm9LsmiSMOIoTaHO E7usKO2M8anE7C9NNS5I9g7TNf0aQoval8j6AvndgURhgdJNyt9KA6OOLVs6Oahjg8Ms7fRm 16NLxyiKd3iMsd2H57RzhahVHNMlj3cB92282a3IwdSgn50MrAtIw7aUS9/D1qcTTK8hDWrf Q84ouYhEaMCifA4Riy7ZjPG1I0JTDk3ZHFKnee2rR4Sy1UidarhXfxq37/7U/M/d9kFoxKJL Pgt8WYvgnOXGLXRGU5Y3ZTNOfRYNWZStCL6fxSjPzNeaXNEi+EJNcEE6kFDzrLMZGcieWPNJ aNOtGZQTaGCaX7ocFUQlNWP6+ON4hp3pzDf0ZZ/sqDoUlKX6HOb/BaVgScFlkueFl2m+RYNa GtJ6T5zHn/F6d69XTIYmGjYrLQRt1CptXZRpDqRumI9TbXaoNlpJfkeOOwyDFZDjGAx4l5jO bVSrYkywUQfMpL2+TJgFPVROpLjPG0rqyqw+VOX9JBken74/vVwQbMaABId8j/cXJgX+wGe8 v30++mm8vCBp/WfHiykryKM8rLvybir6+PNmc1KMLydc8pE0bHYQLKcR6jC/a4sSZJZz+2Em JRiADjAjwYsIAwwLmR0ukCEYtxTZxdSZlOYcSEruHKFgDo5TbnyfqSv8jOlmmLKk7jYI0lQN s9yln9tvKA8QLvrdLqiGp8+3O/wEiGURsJJcYJlXp2KNoxcf+/wc3q/86+3h9DIeOt22MMxD VuTDJ/IgfCJ01Wd4bWLja5mlIQ5pMOL0cfcINtnRC6PlkiMEAfaYdsatyPaYkIQsgUaLHXH7 6dEE921E/HuNuBELwaQMPIc75K5PUrXfO7hsogh7fx5hcA3FNogi5Cg23EhUousOh/qFwSZq b+kPjcBBr8c7qEJtCkTLD2i5Qqsn2BSUDY5vAAE5CKD1WxuySs+QrSwcE5vd6FxqbWqrBuZq bx0rqzXK1UQeGlpiL6EPTQ0qsaiDKFAQ1i6Nd2Q43Tg3ZIdvc8yUbZhgM6UDwi5H0Ar3UgX+ +PfrNbmmnbEhX3Gs4MRCgXLf4IMS0M2FBIQPIfAYx70spm8RqvkvfsqK0tBiTV+VsMDOLD5m kfeOn48RntgvFM2sYs//mZtC9PhwglIMHWsShnkEbDd/BiTPj1dN5uHFRv32ffI7V7N5yPIc x/7AqJ0fopDPF5lP4mNlAX5SqUSLrsDvPQ2QWgD2EYIipJnPYU9AuvfGh8uGOhqX0l7qp6Tg +eECDZx6XaOrWtr026MsUuun5SJAQ9RBwDH/dOstPLQEN3lAPCg3TabOOZEDWO5VRpB8EEBq uN1kSYiDpCogjSLPcnAwojaAC3nMwwV+lq+AmDhblXlGPTfL/jYJsOdYAFZZ9P/menPQDmMh uk+PRdZi6WFv1eCCM6YuOv3Us34n5He4pPzxwvmtVmclSEFkC/ARV18gW1NTbcqx9TsZaFFI nCP4bRV1mRJnpsskWZLfqU/paZjS3ym6tBxVt0p6wbtj6jGI2kayqPAtylH4i6OLJQnF4HZV v6214LJTsruVZ65dGllF0NEYKVRkKaxBG0HR2s6vbA9lvRMQ0aUvc+JuZ7KzxexguVR3IM8R WKt5j35E0W2lZCk0BbZHEpqkajP/aDVP1YL20codnPJZ3VCLZGk34xSIzwYD5yt1n/vh0rMA 7FVAA1jgAyGTBF0HgIadNUhCgQC7WgPnBcQNV5OLwMdOwAEIcdROAFKSZHyLC88XldALEcFo D5Xt8Nmz22Z8NJV1BG2z/ZIEPwFDOprQSLj2ONKC7AGGAXufaKKsDsedm0hLv9UF/HABVzAO J621mb93O1rS+bhi19KEc6bMOpSzBekhBk6Y9zX1O2XsHExt8bYw4zZUrPWLF4bZUOwkavpR SJtJWm2uTXjzReIxGDaUnbBQLrDfOwN7vhckDrhIpLdwsvD8RJII4iMce9R3vIZVBviNksGW KT4EGSwJsB+MEYsTu1BSbVLEVTigjTrOWR2p4L7Ow4jEBbyvw0WwUNONcIKzisBZEg/rWIeF JC47laRrnKkSfNSljPPtv3dZvX49vbzdlC9f8cWTkqK6UgkHdcnkiVKMN60/vj/98WRt9EkQ E9/RiMsYSv/5+Pz0AK6dtadQnBbMUwexHWVILMKWMRWJ4bct5mqMuuHJJQk9VGV3dBqIBvxY oDURvlxpi2K5EVjOk0Lin4fPid6bz0Zkdq04sdfUS1pzkeG4ShxqJWZn7aaetT/bp69TBGPw 52yM5s/tisRyc4Sii6RFPh+S5srx+eMiNnIunekVc90vxZTOLpOW16VATQKFsgX6mWG7X+EC uRmTZL1VGJ5GhopFG3to9Gpu5pGaUl/MROAl3GgRE0k2CuIF/U3FxSj0Pfo7jK3fRByMotTv LC9cI2oBgQUsaLliP+xo7ZWA4ZGjCEgcMXXUHhEnSOa3LTNHcRrbns+jJT546N8J/R171m9a XFuqDmiIgIQEHSvErodwaQiRYYiPGHOEZMzUxH6Aq6tko8ij8lWU+FRWCpfY4xEAqU8OUHqL zdz92Il+25sIb4mv9pjIhqNo6dnYkpzURyzGxzezkZivI9/6V0byHLfh66/n5/dRE08nrPYL PpQH4kZJzxyjEZ/8hl+gGAWLpAodwjArooh/elIgXcz16+P//np8eXif4wP8W1Xhpijkb6Ku J2sjY9irLRu/vJ1efyuefr69Pv3PL4iXQEISRD4JEXA1nc5Z/Pnl5+M/asX2+PWmPp1+3PxN fffvN3/M5fqJyoW/tVZnELIKKED37/z1/zbvKd0HbUKWsm/vr6efD6cfj6O7bke/taBLFUBe wECxDfl0zTt2MozIzr3xYue3vZNrjCwt62Mm4Xof850xmh7hJA+0z2l5HSunGrEPFrigI8Bu ICY1q3/SpMvqKU1mtFNVvwmMRydnrrpdZbb8xy/f3/5EMtSEvr7ddF/eHm+a08vTG+3ZdRmG ZO3UAH5xnx2DhX2KBMQn0gD3EUTE5TKl+vX89PXp7Z0ZbI0fYEG92PZ4YdvCaWBxZLtwu2+q Atyznom99PESbX7THhwxOi76PU4mqyXRncFvn3SNUx+zdKrl4u1J9djz45efv14fnx+VsPxL tY8zucKFM5NCKt5W1iSpmElSOZPktjnGRKNxgGEc62FMVP6YQMY3InDSUS2buJDHSzg7WSaa FfrkSmvhDKB1BhI3CaPn/UL3QP307c83bkX7pEYN2TGzWu32C6yHFIVMiRM3jRCXFqutR4Kh wG/cbbna3D3sUx4AErhRnRhJsMFGSYgR/R1jxS4W/rXPUHgvipp/I/xMqMGZLRbovmWWfWXt pwusAqIUH1E04mF5Buvya8nitDCfZKbO86i6nejUgd1zP183QYRDt9d9RyKT1Qe15ITY161a hkIaFm9EkIC8ExCMEGUjVHn8BcVk5Xn40/CbeNjob4PAI3rxYX+opB8xEB3vZ5hMnT6XQYjd cGoAXw1NzdKrPoiwgk4DiQUscVIFhBF27L+XkZf4OBh63ta05QxCnHeXTR0vsNvPQx2TO6jP qnF9c+c1z2A624zR6ZdvL49v5nqAmYe31OuL/o2PBreLlCgXx5urJtu0LMjec2kCvWfJNmry 89dUwF32u6YEv9pEIGjyIPKxN9FxPdP587v7VKZrZGbzn/p/2+QRue63CNZws4ikyhOxawKy nVOcz3CkWes127Wm0399f3v68f3xL2rCDEqBPVGREMZxy3z4/vRyabxgvUSb11XLdBPiMXe+ Q7frM+12nWw2zHd0CfrXp2/fQEz+B0TBevmqDkUvj7QW2258eMldHoNdVNftRc+TzYGvFldy MCxXGHpY+CGIwYX04AOaU9rwVSPHgB+nN7XtPjF33JGPl5kCAoHTm4OIRE8xAD4vq9Mw2XoA 8ALrAB3ZgEdCTvxfZd/a3Dbu6/1VMn11zkx3G1+SOM9MX8iSbGutW3RxnLzRZFNvm9km6STp Oe359A8AUhJAUnL+M7tt/QN4EXgDSRCo8tjUPQdq7vwq+Gque8VJfqnjdwxmp5KoLd7L4RUV E8c8tsxPz08T9nBnmeRTqcDhb3N6IsxSq9r1fenxiFNBXs4GpixyYM0ouWiZPJ4I71z027iM VpicI/N4JhOWZ/JuiH4bGSlMZgTY7MLs4malOerUGhVFLqRnYvOyyaen5yzhbe6BsnVuATL7 FjRmN6uxe33yCSPj2X2gnF3SEiqXQ8Gsu9Hzr4dH3CzAEDz58vCqgihaGZICJrWgKPAK+LMK mx0/mVpOhFJZrDBaI78vKYuVcFW2vxShy5HMY6HFZ7P4tNXdmURG6/0fxye8FFsejFcoR+KR vNRkfXj8gUcyzlEJU1CUNNUmLJLMz+o8Dp2jpwp5oNUk3l+ennPtTCHiBivJT7lJAf1mPbyC GZi3G/3mKhjuoSeLM3Ep4vqUlj+t2HYHfjRRUEmgvI4qf1NxezqE8yhd5xkPQ4tolWWxwRdy JzfEU3hpSa+c+36RhI2yciPZw8+T5cvDl68Ou0pkrUCTFiH2AFt52+4QndI/3718cSWPkBv2 Umece8iKE3nROJYp+tyjBPzQcREE5C0XYhQQhlaEDqjZxH7gS3ftPbHiJnEIdwYTNrwV5qQa NULkIEi2FQam38kJsHXsYqCmcSWC2rOGBDfRkgdHRCjiC5kC9hML4bYGGiJPDQKM89kl118R o6t+A6q25PbQZNRevQWqHSIpnw2Ckvve5fnCECQ9fpCI9qaBbigkoQ3jKFDriQOBytmaZMQr ewPiMeoVIHxGdRAIykLz0CgTL90lFxlzGlAU+l5uYZvC6rtVBH+WxqCoro3uD0ATh4EElZcj id12IWCj4urk/tvDj5NXywFCcSXjZJKDmsi3AAqGlDIrzhbfTdnARiDNUlCU0q14Bdsyz1xY E1XlEE5B7Ydo6q2oJO/Myu+wTsXnOcOY/yoQAGOPYXoOQT/iRcIQjSyzZi/yz2RamDQuYMFs 4qmB66ezJq69dEV+xd6pJPiq0SPGrimVswSznZRLLQv+ixzbeLzC6FQLtkAc0eMNUcwCPtpB hKxtFJ2IGiQMU2dWQ/mpEd9blfMFbmf5p3WObiiupuS3aaIV8TfOqeXSc/m0EsW0ld8sSkMS 3bPgHopBpfVXa9kJcg82mLhjxXVZOHYOb9O8lCNGzR/hnr8bwuq2vvOgeYKQe5VQzrOBg2zz 5TPRPDCqC3xlFRoXcOb47hLknr+Vcb2UlUoFw2oqTx0whCokyPyKh1Kll1gbbHkKUuH3kcBY 3xineJNT/gZYg9WGvw/U4L6cnO5NVK+8JmquvTqKhogRpDC0BjSx2EsrHlVGo+re2YTVAukC lWNwkJJVESNgjAIdntAUQT3pzUorRdcJjOzVlazJ7Yo5oymZj+PIgqX7UAWq12dmiYjelD7X FBSh8wk5gDfruA5N4u1NesWHTNRW6KYUr9uBspmfXihqD2tHlW3klJkwjDCI58I0X38Md4qp NoGbG4zT/Eov0/oFEiMMFbCCYOTI3w6wSaI8agJBRri1YMAnN1nF1TcgqrhFAlIGfCISpIbP I1aGSbx0pNG+7MlBr4PSrPfxMdrMSZtMveGEmjjDBdv4NhXux0FQQXvkF3S+Mcm/sPXNKviP oxo9wah8Wk4dRSOKbRPwsMyUD3m49bgBfAdbotYf4Phk7UgyyIdw88NaSgkjpjAKp8dLyX6R XDlaO9qDPjLQQ7R/LyuRdgbmwFFVgfGzdGRVRrB6pplD9ptof7YJpg6xqWkWdP7aSKa0MAxu gG/P2qCZ5ihSU7+rORTBlgY9/oJ8KeRkYn0Fp9cVj+PGqRhaYTCxn08mY5lTZcVX5HuvmS5S 2NqVkS+TdCRbqEiyvw/dJ9oFA1rzp1ktuC/tfkaW/3bGXp5vUHNKggS6xqmkZn4YZ2h5VwSh UQwt5HZ+2kfF1eL0fO5oP+Ugi8j7ITKOqqkDv0pyF2pLkHBLLi3aTOZp4iLBrLBxpiGC2YKF R25OLAH0HjScsGue7Gn2twiaMcP1z27zAUKYJGa1O0drOKQ3gTkUJN1Rn84Rgf0ZnePgmzwc KtaSl347EuRmbGxGpFlumExVESOvfatp118lmU8np4r420HcT6aDxLPpmStleZbvxvKk6c5a c1iW9ljo1Cj7IzhpNkCy2wekuLmZLmKjs6BlLR6YTGZQf+IxPq2jzwfoSlOzdRjaD2HM082N 0R2UGra3kgTJYnK+t7PykvOzuTUN0Q5Z7z+kxkAUKTfQNDHyrCGuCpgmU3GxpZ8EWHWADNZJ FFHQA34YL/THLgE6EPB50KMogC2tCjzNtoD8vBJ+NCJOMAJx3lls54eXf55fHums/1EZd9kH O3jy4ZMLCXampsE5eqM2fSACfvbrlwtPZQaCo9VMmiAodFm9REbq2WnjfI9Ubeo0wHcUcf/C 9+nLy/PDF/ZdaVBk3POFBpplhGnJjcYAjR83G6nUvXT5+cPfD09fDi8fv/2v/sf/PH1R//ow XJ7TV2db8TZZ4LED4XSH7qN+i5/mgbgCaccfJUZSgjM/4zGODQLs4GqT2O5JQvSJaOXZUh25 4hs+ozjULkJywNJBahleybz7JUgyq4xRf3Z+h5ozMCS0VU/tgSZic2g32TkLUWbWZv1b53/O JGW6K0Eg65xvajGCcplb0tOPxdp8lDXl9cnby9093TSaI1O6jK4SFYAa3wxE0gxdE9CrciUJ hg03QmVWF7B7AKTM4tBJ28DkXS1Dr3JSV1UhHJmg1UQMQ9JG5OTUoWsnb+lEYVV25Vu58m2d PvSmnbZwu+kIjzge+a8mWRfd4ccgBc+o2CynfDDnOLqNVwAWiRxJOzJuGY0LcpPu73IHEQ9H hr5FPylz5wqT2Ny0ym5piedv9tnUQV0WUbDmfUYLxUnUFV8VYXgbWlRduxynVHXDWxiFFeE6 4mdI2cqNExisYhtpVknoRhvhjFFQzIoK4lDZjbeqHajo/6LRktxstjISP5o0JM8XTZoFIV9G I2gf2gNL/yyMoJ5X2Tj82fgrSSpF7BRCliF6/ZBgxn0rVmE3fcE/bb9QWa44+M+m3CRNWuNU FaG/ozWsoRN2g87y6SbeOq4i6Bd76hmmdZrDDWaN7zfXF5dTJlYNlpM5N5NAVIoPEYrI4jZx syqXw3KUc/dfkfBeDr/IQ5MsBH0NiyN2cj6sPGYKv409nq4Dg0ZGavDvFPVCJ2rECrBI2mNm nxjGH/KI2b4zY/PTyiS0JnCChIEfrmovCEL5Skle/KsHPw/fDydK/+VOuXyYd8LmOsO3sb4f 8iPunYcGNBUsPiVesZT87gCgKBPhQsN9NW34bl4Dzd6reNSBFs6zMoJu4sc2qQz9usCHCZwy MzOfDecyG8xlbuYyH85lPpKL4evor2XANjH4y+RAf6ZLEjbTWcKoRMVX1KkDgdUXlyUaJ8cS 0pEyy8gUNyc5PpOT7U/9y6jbX+5M/hpMbIoJGdG4FCOnsJ62N8rB31d1xk/q9u6iEeb+5vF3 lsZ4T1z6Rb10Uoow9yJ1RceI116Rnjy8njw9o032myTSh3Cqpq1Xpez1GmgwZAzGvAxiNivA ym2wt0iTTfkms4M7H3aNPoV18KBES7MQqjAuQts4W7uJfD+zrMx+2CIuqXc06qPataFo/I6j qPGAOAUiRaywijR6iAK9Ej67cuUWrvDqOVqxotIoNqW6mhofQwDKSXy0ZjOHTAs7Prwl2b2d KEocVhH0JBzVdyMfivSgDhsifoM5NCOhedmqtJFmqWK18bhMK7yb152QWzCkAfrCuBmgQ15h 6hc3uVmhNKuE0AMTiBSg7Mr6hJ7J1yJ6tcEb+yQqYe3mbkyNsU8/QTer6CyXltaVECfoN2ml 2XAYi29SsNHPFFgVXGe6WiUVxokwADaxUyphEuLVVbYq5aqiMNn/QCwC8MW2NoM+HXs3cmbo sAZDUheoSAQR993vYPDiaw82pKssjrNrJyuei+ydlD00IdXdSU1C+PIsv2kvZP27+28H4Sre WNw0YM5OLYx3WdlaOKptSdbKqeBsiQOliSMewYVI2Je5bDvMzIpRePn9s2X1UeoDgz+KLPkU 7AJSnSzNKSqzS7ylE+tjFkf8HvwWmPiArYOV4u9LdJeirPGz8tPKqz6llbsGKzWd9ep0CSkE sjNZ8HcbYsaHPQ7uCT7PZxcuepRhHA281//w8Pq8WJxd/jH54GKsqxXzwZ1WRt8nwGgIwopr LvuBr1Vnqq+Hn1+eT/5xSYHUIWGrisAuoZMBF9g+cwlq7kqYGNC8gY9uAnMK2JTBEpYVBsnf RHFQhGym3IZFyitjHBtWSW79dM31imCsS0mYrGBjUoTCXb36S8mcidMhsi6fqPRp/scIdmHC VYfCS9eh0X5e4AZU+7XYymAKaRVxQzoMlpilN0Z6+E1hu4RKYlaNAFODMCti6bCmttAiOqdT C7+GpT40PXz2VKBYSomilnWSeIUF203b4U7tutXzHCo2kvCyGx97oAFcRit3abLc4gNgA4tv MxOid1oWWC/JvqvTh3WpCcwfaE4aOtRizgKLc6ar7cwCQ6859W7OtPJ2WV1AlR2FQf2MNm4R 6Ko7dB8dKBmxibhlEELoUCkuBXsoGxbKzExjtGiH263W166uNmEKWyFPqls+LEtCWaDfSsuD yctkbJKK+xq/qr1yw5O3iNL51DLN2kKSlSLhkHLHhkeHSQ7NRv6TXBlpDjpLcrask1Mbb44V bci4w2V7dXB8O3eimQPd37ryLV2SbeZbcmBMAcNvQwdDmCzDIAhdaVeFt07QG7fWjjCDWbde mxvhJEphOhBqYWJOlLkBXKX7uQ2duyErwJuZvUKWnr9Fz8M3qhPyVjcZoDM629zKKKs2jrZW bGikLoOu5qCuCb9j9Bt1kBiPqNo50GKA1h4jzkeJG3+YvJj3M69ZTeo4w9RBgvk1LMZdJ0fH d7VsTrk7PvWd/Ozr35OCC+Q9/EJGrgRuoXUy+fDl8M/3u7fDB4tRXbKZwqVIcSa4MjbmGhYu y0FN2snlxVxu1HROagKb5h1qb1hdZ8XWrXylpt4Mv/nmk37PzN9SVyBsLnnKa35MqziaiYVw g5i0XQ1g85fV/LlT2q5DBraKw70zRVteQxbROPPRYtdEgQ4/8fnDv4eXp8P3P59fvn6wUiUR xswQq6OmtesqlLgMY1OM7SrHQNyCK3/ZTZAacjfbaVUG4hMCaAlL0oF4MKMBF9fcAHKxRSCI ZKplJymlX0ZOQityJ3FcQMHw2dO6ID/PoM5mTASkeRg/ze/CL+/0I9H+2l1ivxjWacHjqajf zZrPshrD9QK2oWnKv0DTZMcGBL4YM2m2xVJctPBEbRzRKCX5hHjehZZwpZW9eXYQ5ht5hKMA o6dp1KXI+5FIHrVHt1PJ0nh4eNNXUPt4lzzXobdt8mt8+7ExSHXuQw4GaGhOhFEVzbLNClti 6DCz2upQGXfV9NDHpA7VzJZgFnhyv2nuP+1aea6MOr4G5FjyzftlLjKkn0ZiwlytqAi2Vp9y Fz/wo1+n7NMTJLfHL82cP/YXlIthCvf6IigL7l/JoEwHKcO5DdVgcT5YDvegZVAGa8Cd9hiU +SBlsNbc7bxBuRygXM6G0lwOSvRyNvQ9wg29rMGF8T1RmWHvaBYDCSbTwfKBZIjaK/0ocuc/ ccNTNzxzwwN1P3PD5274wg1fDtR7oCqTgbpMjMpss2jRFA6sllji+bj58FIb9kPYnvouPK3C mjsd6ShFBlqLM6+bIopjV25rL3TjRcjfdLdwBLUSobM6QlpH1cC3OatU1cU2KjeSQIe6HYK3 mPyHOf/WaeQLkxkNNCkG8IqjW6X0daaY7ARc2CUon8eH+58v6Dfj+Qf6C2VnvXJdwV9NEV7V YVk1xvSNQUUjULBhow1sRZSu+c2jlVVV4OVqoND+6FBdhbU4L7gJNk0GhXjGcVundAVJWNJz tqqIuC2xvXB0SXD/QLrJJsu2jjxXrnL0lmKY0uxXReIg517FNIe4TDAISo4nDo0XBMXn2fTi fNGSN2hdufGKIExBGnjHh3dBpKn4njgXt5hGSKCFxjFqeGM8ZLaUe/w2EhRMvEFUppHs03Br 4VNKPDM0Y107yUoMHz69/v3w9Onn6+Hl8fnL4Y9vh+8/mFFxJzPovzC69g5pakqzzLIKg6S4 JN7yaBV0jCOkIB4jHN7ON2/WLB66jYbxgYaqaMxTh/3Zds+cCPlLHO3y0nXtrAjRoY/BFqQS YpYcXp6HKYWuSdEJos1WZUl2kw0SyLMB3hXnFYzHqrj5PD2dL0aZ6yDCEMTrz5PT6XyIM0uA qbeu0BGLB2vRaePLGr4XH72FVSUuMLoU8MUe9DBXZi3JUNvddHb4M8hnTMMDDNqewiV9g1Fd zIQuTpSQcEVgUqB5YGT6rn594yWeq4d4K3zuy98LOExJOkh1okoEl++JXnmTJCHOtsZs3bOw Wb4QbdezoL0wBp0c46EOxgj82+AHCNErsavkftFEwR66IafiTFvUcVjyQz0koF8lPP1zHIEh OV13HGbKMlofS93e1XZZfHh4vPvjqT9x4UzU+8oNhRMWBZkM07PzI+VRR//w+u1uIkqiozLY XYHCcyOFV4Re4CRATy28iIeUJRQdIIyx04Adz5F0iAgPA6MiufYKPJXn6oKTdxvuMZ7EcUaK P/OuLFUdHZzD/RaIrXqjLGkqGiT6hF1PVTC6YchlaSCuIjHtMoYpGg0q3FnjwG72Z6eXEkak XTcPb/ef/j38fv30C0HoU3/y1zjiM3XFopQPnnCXiB8NnlPABruu+ayAhHBfFZ5eVOg0ozQS BoETd3wEwsMfcfifR/ERbVd2aAHd4LB5sJ7O02+LVa0w7+Ntp+v3cQee7xieMAF9/vD77vHu 4/fnuy8/Hp4+vt79cwCGhy8fH57eDl9R9/74evj+8PTz18fXx7v7fz++PT8+/37+ePfjxx1o SCAbUtS3dHB78u3u5cuB/PZZCvva92FKrde4YEIv9qs49FDbUIblB8jq98nD0wO6sX74vzsd VaCfclLszxUpGsY9c8fjLIEW9v+AfXlThCuXdecwdyNOsqim6G8EFeKuIfiZZ8uBLzMkQ2/6 7pZHSx6WdhfTxdw4tYXvYQqgE2Z+ilbepGYUDYUlYeLnNya65+GEFJRfmQiM9OAcJjQ/25mk qlN8IR2qoxR5+/cgE9bZ4qL9WNZ2IP/l94+355P755fDyfPLidLa+86nmKFN1l4emXloeGrj sAA5QZt1GW/9KN9wvdGk2ImME9setFkLPiH3mJPR1hbbqg/WxBuq/TbPbe4tf3nR5oCXcjZr 4qXe2pGvxu0E0hmh5O46hGE+rLnWq8l0kdSxRUjr2A3axef0t1UB+iuwYGW14Vu4PtiQYBkl dg5hCjNK95wn//n394f7P2ABOrmnDv315e7Ht99WPy5KayA0gd2VQt+uWugHGwdYBKXX1sL7 +fYNHfje370dvpyET1QVmERO/vfh7duJ9/r6fP9ApODu7c6qm+8nVv5rHua+5dt48N/0FFSd m8lMeO5vB9o6Kifcr75BiN2U6dm53YEy0JvOuQNyTpgIf8Ntc4VX0c4h0o0H8/euldWSotvg ScGrLYmlb3/1aml3rsoeH76jf4f+0sLi4trKL3OUkWNlTHDvKAS0v+uCe0Fsh8tmuKGCyEur Omllsrl7/TYkksSzq7FB0KzH3lXhnUreOqg+vL7ZJRT+bGqnJNgWwJ6mYAdzNTkNopU9xTin 7EHJJMHcgZ3Zs2EE3YqcA9k1L5LANQgQPrd7LcCu/g/wbOro42pjZ4GYhQM+m9giBHhmg4kD Qwv7Zba2CNW6mFzaGV/nqji1vD/8+CYeG3YD3u7BgDX8fXILp/UyKi0YA5/AztFuJycImtP1 KnJ0mZZgxQNsu5SXhHEceQ4CnlgPJSoru1Mharew8LOhsZV7MdtuvFvPXopKLy49RydpJ2rH DBk6cgmLPEwdq19iS7MKbXlU15lTwBrvRaX6xfPjD/Q2LmKQdRIhCyq7xbnRn8YWc7sDosmg A9vYQ5RsA3WNirunL8+PJ+nPx78PL23YM1f1vLSMGj8vUntEBMWSgv3W9iKPFOd8qSiu2Yko rjUGCRb4V1RVYYHHq+LAnmlnjZfbo6slNM4JtaOWrZ45yOGSR0ckhdyeWDzHOkbnUvJpZEu5 tiUR7kDHLHYwRBs/LO1eiQybaJU2F5dn+3GqU1VHDvTA4nteMjTaJY/uJOgsLiztLieYPfrY d/GOZ2QaeDhY/rLbTtDpAAotWS/HuKRz1yEO9TC6qTZx8Hl6dnaUncyyFTe7GBgX73gtOsmO s+Vb/zgT7szGmILc86bDjURPrIcIuAwPJ6NlcpDoWjmQmEd+tvdhSDipJYimcA8U7eTLObNh yjP3d9R74RjbpBAwQnZOPD15uGtrP9EDWznGMSAn7ch+SIyKDO0zQo0cGmtPdW3jRM7Q2925 ozugwHdL7cq3l0aFZ8lg20XJugr9YVkr56ilWxItscmHpkjbjTz/GMunPSP6mzAuua8HDTRR jqaAEb32dpbZMlaxu9a7qKhExj2JnIpyV/j8Y8lTA+wkRqjDYtSJBzq8V1R56Lt0QPgcXzxk FSsUehfhfv7kPRl5ARSncC0xr5ex5inr5SBblSeCpyuHDtj9EK/q8YFLaLmQgPmzXJBrEqRi Hpqjy6LN28Qx5UV7V+nM94JOYzBxn0rfP+ShMm2mF1v90xulWWJExX/oDOT15B90iPbw9UmF GLn/drj/9+HpK3N90l3sUDkf7iHx6ydMAWzNv4fff/44PPY2BGTuPXyVY9PLzx/M1OoOhAnV Sm9xqBcm89PL846zvQs6WpmR6yGLg9ZMepsLte6ft75DoG2WyyjFStFb7tXnLiDl3y93L79P Xp5/vj088cMFddTMj6BbpFnCnA76MreKQU/r4gOWEWxN0Ys9k2HrOBp2ramP5ikF+RXlnYuz xGE6QE3Rv3YVcXsHPysC4Zy0QL0lrZNlyIPVK4MiL7bzzP3IdLmCQS/0a1Y2NvFGFG3d/STf +xtlrF2E4ljDR3+Cldiv+RMxtcDAtg5DYEat6kammonTVPjJbbwkDrNJuLxZ8GszQZk7L2w0 i1dcG5fbBge0p+MCB2jnYkMnt/c+M0WMo6V9jOSzMxh9btQLmuxMdPP0cOGlQZZwQXQk8WTo kaPqHZzE8VEbbmZiMc4JtXa54pXTb46ynBnuevY09N4JuV25yDdOjwJ2fc/+FuE+vfrd7Bfn FkZ+OXObN/LO5xbocfO2Hqs2MLYsQgmrhZ3v0v/LwmQf7j+oWd/yWBqMsATC1EmJb/klFiPw V4eCPxvA5/bE4DDCA20gaMoszhIZD6BH0bZx4U6ABQ6RINXkfDgZpy19puZUsC6VIU5NPUOP NVvuM5rhy8QJr0qGL8mJB1NNyswHlTHahdALCk/YH5LTKu7bEyFxwZjSF60RbGB+X3MbSaIh gfZelRiWAdm8+LFHD9A2dJhizMlYVhlWdU7MwllLR6/gA4PsOrVZArKNEdM/Qj5VXp2NH/65 +/n9DWO+vT18/fn88/XkUd0o370c7k4wmP3/YwdSZDd0GzbJ8qZCv3DnFqXEw2lF5XM2J+OT XXzStR6YmkVWkdu9kmTy9q5pHO1IYtDf8P3Y5wUXgDoAEds8ATf80V+5jtVwYYsWuexxWJb5 eY3ek5pstSITBEFpCtkSV3zBjrOl/OVYE9NYPsjpBnOVJZHPZ7m4qBvDtYof3zaVxwpBe93u B4aqyTN++ZnkkXwgbX9tECWCBX6sAtaD0acu+mEsK25DtMrSyn7yhWhpMC1+LSyEzxYEnf+a TAzo4tdkbkDo5Tp2ZOiBipU6cHxD3cx/OQo7NaDJ6a+JmRr2r46aAjqZ/ppODbgKi8n5L64T wVRS5jG3eCrR7XTGX7Nh7wrCPONMoM6IHoZmP9x2H83K07XToN5Smbs2XP7lrdftmXVnTtJu awj98fLw9Pavilj5eHh1mPSQfr5tpKcIDeLzLnHvr57iojFujCbNnZHCxSDHVY0edDqz3XaT Z+XQcaDFdVt+gI8hWae+ST0YTY3lo3bwK7v7hYfvhz/eHh71NuWVWO8V/mLLJEzJQiGp8VpH uu1bFR7o+eiUShouQ/vlsFihY2n+CBjNHykvIPVonYJCHyDrMuObCtuP2yZEO2Z08wTdis8B LcGoHvoLSXDypcMSsUPS06d6IIpOYxKv8qXVsqDQR6KLPdYCtLBdezA0lBzyjLx3laZ8NG59 GdoT67eO6PqSQnv1m8v3tlPXmTyMTgdbVx7JjIGdXZZqz88wHbi4VDAus67o+Se0UPS181na uwWHv39+/SqOEug1F+g3YVqKx7iEgzogjjfozCOLykw2l8SbNNNu9wY5bsMiM6tLLGKzqPAi Czz0lya2M4qk3HJZnVLDjl2QpK+E9iZp5PB0MGf57EXSMKLNRhhySbpyNdL5YB3g0sO6nXK6 zlDG9bJl5QbxCBsXU/RwRncQ0Dy1+aPsOEfwBtc7tLJft2c5pwOM5pZFEDubw5XVuh0Pen9r Sp8/ttGzABlh1jgVmyRuwNsiZLMhH2R1pGLpAPM1bGjXLo1Ys0RFVdtjbgCGz0EXh9LSWHdw NY2gCm91rE203ojdgU+H3s3Wg5Fkb/QVrHTDiWXF2Y9y65O2aB1pFgJ5Aaz8RDZ86yu58RdN 7kVNXmTEHK/baqMiNepNAVTjJH6+//fnDzU7bu6evvKY7pm/xS1JWEGXF49WslU1SOyeOXG2 HOYb/z08+jHShJsuYwnNBqPBVKB1O/YA11ewkMAyE2RiKR/6wH7SwwLRM5bYTgm4q48g4uyD jhX6N1PQoQNzs6FAeedMmPk6i/jUOMIHUcY6rJoOi9yGYa4mdnUAiqZmXWc6+a/XHw9PaH72 +vHk8efb4dcB/nF4u//zzz//WzaqynJNWqLptCovsp3D+yclw3pb0z9o0TVswENrzJRQV+mo Rw9BN/v1taLAXJldyxeIuqTrUjhFUShVzNi4KWdY+Wdhhd8yA8HRhfRjKNpiQQ3CMHcVFKkr 227lKg0BwUDAvZMx2/Zf5lLJ/4NGbDNUMwEMZWNmpC5keK0hzQvkA4oi2udAR1OnkNZEr1a2 ARgWflgFSmvShv93GPfFpkhfnHqGdYGlpVeSF9jIsbz7BXxAWkXqtaAyr/Frp9ZEvRiIfRbu tkFtACO2O+DhBLhKkA7dTQTTiUgpmwCh8Kp3TNG1vay8MRyutIpbtMqtFDz1N9AL8ZCfW3ZD 1TYwucZqZSaHURReqWdpxduERZEVzNFLfwGRuJl6jmxFlvzD+bHjjLBSYRNGuYYdHntRXMb8 RAMRpY0aw54IibcN25ffBgkvdXV7ScIKRyfHRF0cWylVUuK7CpJp+yHZmK9h8Zg+9W8q/pg3 zXLVe8SzaejKqzpVGY5T14WXb9w87Y7XdGvlIDbXUbXBMx1TW9XkRNnhYA8oAoMF/aTSyEBO 2ruZmfg6ocqFDVCqNb3TNaqoSvXlYkKHHKbnzXCHmg/yi9ULxwCOlRI+zLflw7LSHnak/6Ac NiIJ7IZhg+f8LKu89sjOLEgz2quu2SiDzX2kpVlNSRT8tWBxBcrWykqitA+ry1xD97RLVy2h 27i02q5MQXfeZHajtoROyZYCXsKahI81i4zu3/FFF1+8W9xLYRbx8FpaJQhLl0dI0qPMmqNH RjJYsfytbyH3ZWiJq3bDy3xlYe3gMXF3DkPj8PgQ7Npey8NumIGB2Tabtd9uCZVX4OWDJPZj Sa2BQ81Oo8F1sc6HVU9+dJHdNWC9mY7vjKVYVS3El2B47YIiYUMQd0NtxzFlXYCUyDYQ8sNa aNvarsPF26BKnDcSJAiyaihhAA+zDFJVdyt54AMn37JbN7DZhvkKuuSy6C2V38J1qmc7I+AB B0rPmUM/+tSByEAJ7a2EVG5bInv5N5g/yWsT7tE92IhA1am2cs3hGvctV6keKMrUWyBUmev+ iMjasORRgPqc3cwKYNBjYrcnU+LAB8rD1D1dPQ7T0bH+CtagYY4CjQ3IHcyIPIFlmBoF3jBR 3ScMiSreJpZIdglpYkNJyFyb/L0YAs4tkaPN0Cajg7UdL2YVpRi4kU0zQ4W1D/WNnLX7drPm Nc0rw72J3MJIzz+qPyXcBSJB8tjJLAgfzsKC6tp6qlZvL2CM8nHPyV02QT5yjlQnjQ2dwcKs X9RtfI7eo7KH7jVdQ4bUMXXLvg6Yhm3/0gfbdpRCIhpb4R4jZ70Z1wcYjW5n1LD+/GE3WU1O Tz8Itq2oRbAcOYNHKjTTMvP4uocoqn5RWqNz68or8RXDJvL7g5t6WfIjTfqJB+T9lfJv2ZeJ v7eY7M8iVRRP7aJR+HcmN0+ag+lj2RCFYrVW5C1RRipgBOptK/sQhW1j6vRaRTodvUeRVmp6 724dHHpxjtGRalilT+1jFa+6nGA3u5yez5pgua6dk4vk9c6CKeU3eR/zHI+Yi2o2wr30k+li dnaU43ycozmbnU72R3g2xfQIR0RhIOrjdW62WeoR4zjf+Wy/P8oWFnGUHuUq/KSslsfY/LSE IsckEUTryAftooCsTkf4NtHsfHp6rDw8ZF96GJL9GF9+OnkP0/w40/5so/vhCFuU7GdHC0Sm s3cwnR2VAzK9p7iz2TuYzq/ew1TG7+I62v+Qq35PXhfBUSZyqoTGayNMuDRXWTszvZdxbMpR 4YWRyxtyvEJsMAcj09gs0PKMjf9kB38drT3jUmFc0yGLV5N/8j7+6vxscXm8GtViMr14F5se CmOfjgbL02PN0TGNCbpjOlbc7D1M83fn5DZLNnIaY6qixWS/PyaDnmtMCD3XWN29ZDY7XuJt htbt4+Ozew52jJEeECFP4N5S69ud0It3UXjdoI1wPrR7Nnjz5WRycX6UfTeZnC6OdlvGNiYb xjbWHMV2enxAdUyjBbZM48XN9u8oTjONF6eZ3lXcWF8DpunxnC7Kiymo/k3pR6tRRt8r8GB1 Qpyjnyk435Pn9N15Ks5R+QnO95c+Nk8USbbEcyzkG1W0BONoLTnjWNHlzD/ar1qesQJbnjGB tDxjnaoNcX60Tm18+AI2rpPT4/XT/P6NH4OecHY8QZ1eRserUaf7/4TrSInAVRybb8uoWOET Iu/4/gpZvSr2yuOLusE6miua4U5mAzuHsoo288m+XY9K390jJFu59JHVXSq9jlzN2w3tkHQU 28UxNtI6GZOyfMqCBI9Y3pXifVzLd3H57+IaOm6UXGMaoHoUfaRn7cK9emyiNFFlmfN+ft+7 fD9zUY51sd3qaF2rRftFY936tgqb27Et7u1NenU8l5ZprM6RHwa+uz11Jw+TaJPRjcQIl1a4 msX0bKxKLVseG4cZLjmSBtUbOXU5RKkf10GI8ZT+/vn104+774/33x5+/Fl+MA6R2gpZp0uU +eam/Hz6658vi8Xs1LRQJA48NR3nwMzRfG1VfZ4Oka/FpZdJzb04obfkgxx46m6bS2iu1H7l 1mOmoH4+3WtHNH9+60SlbDWVObo802vvhOT1Vh6h8Vh7dx0FwngeSo3Wm8oBNRgJuWw88qyd cmfxkqXjaKrEdzH5XlW7cJUmj4aJYbXc8RcUjEx+2YEhme2d9CpxViWvVTtwyynjlUKbigye KG40ej7MfDKGRCn8f+mDT68PhAQA --yzndhqamitwpq62i--