Received: by 2002:a05:6a10:f347:0:0:0:0 with SMTP id d7csp1290736pxu; Mon, 23 Nov 2020 17:14:58 -0800 (PST) X-Google-Smtp-Source: ABdhPJw3brcEF71pXYlSMSumNbJeiXZGrsxnBNJcAszzKV2yk3BAmgfchYGQF65YPl+cGtGMG7cx X-Received: by 2002:a17:906:4699:: with SMTP id a25mr2064604ejr.284.1606180498278; Mon, 23 Nov 2020 17:14:58 -0800 (PST) ARC-Seal: i=1; a=rsa-sha256; t=1606180498; cv=none; d=google.com; s=arc-20160816; b=GEzXTjaxFpb9zoXfdH1bFkg2NX6e5tUEPydj1uZ/wrOeaBRSQQv6CzvOJlwWa7p+36 pUDhdQiI4OYAdaqlcrQQ9OAaNOWA5F2dpbLJuTksk5RnLM9sBlNVHeOvyJPZMkuyvOQU ceXCDOAl9mnSkfoFC6vrA6gNTz6LLLDGXwEI70w36h4PI+2JQXeyfk/SzjxJ3fHoDTRk wiY8ZV5OwixAqGeWf3Vm3YApVYQ2J9OgrBk/ER83sdrwxztxiCH2Blbf5I4wL+ZyfuoA RfOZOfA9HkrytjTuJqEsM3t8jIZPpjxWTYo1rygyHTFTqLr5JgaZst5ICX9Hsau5cSoK 51Fg== ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=google.com; s=arc-20160816; h=list-id:precedence:user-agent:in-reply-to:content-disposition :mime-version:references:message-id:subject:cc:to:from:date :ironport-sdr:ironport-sdr; bh=GRx2fAnp8I2yEq++PqAaQTIbWPf9d9VRZqYRstcuMp0=; b=tm/9meEXP2EofEkTZSGff/dr80wZohLGn5ezeWJY8/HIA2uNUJtXRWXNzmAlmjipQ3 5t05HT8tDCCXpY1wvaLNfWoZnsmL7HidEMJc3Yu1ocmeOcMDk+V9QQ35pPGH2pMXK89t DyfKiHAR+fT5l5L3Lr/shnu9wGYYUSU0IGj54AvxmJx98GHssz/MBKKFUiA+1Q9Ii2nS jh/RS+7teQ5AC/uMXwv+yPYzB7NprM6U6EB178u6j+SOPUsYKYF4r+NidCfokAs71srW 2f/NP+LZ31lXEyHq7JugSqa+5/Hcopbt0qyIq1S2vy2blbspEM28AB/Ko4en0pEXkoFV Mq4w== ARC-Authentication-Results: i=1; mx.google.com; spf=pass (google.com: domain of linux-kernel-owner@vger.kernel.org designates 23.128.96.18 as permitted sender) smtp.mailfrom=linux-kernel-owner@vger.kernel.org; dmarc=fail (p=NONE sp=NONE dis=NONE) header.from=intel.com Return-Path: Received: from vger.kernel.org (vger.kernel.org. [23.128.96.18]) by mx.google.com with ESMTP id e24si3813065ejh.235.2020.11.23.17.14.34; Mon, 23 Nov 2020 17:14:58 -0800 (PST) Received-SPF: pass (google.com: domain of linux-kernel-owner@vger.kernel.org designates 23.128.96.18 as permitted sender) client-ip=23.128.96.18; Authentication-Results: mx.google.com; spf=pass (google.com: domain of linux-kernel-owner@vger.kernel.org designates 23.128.96.18 as permitted sender) smtp.mailfrom=linux-kernel-owner@vger.kernel.org; dmarc=fail (p=NONE sp=NONE dis=NONE) header.from=intel.com Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S1729792AbgKXBLl (ORCPT + 99 others); Mon, 23 Nov 2020 20:11:41 -0500 Received: from mga17.intel.com ([192.55.52.151]:31487 "EHLO mga17.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S1728576AbgKXBLj (ORCPT ); Mon, 23 Nov 2020 20:11:39 -0500 IronPort-SDR: BHAZcQuoUrkMtjsntgSbrMWK61C1cxrnljyMLlooU6vMG2qdFvrPE63iawPED2ghP/kh3RQ6ZS LOat5eeL6V4w== X-IronPort-AV: E=McAfee;i="6000,8403,9814"; a="151713560" X-IronPort-AV: E=Sophos;i="5.78,364,1599548400"; d="gz'50?scan'50,208,50";a="151713560" X-Amp-Result: UNKNOWN X-Amp-Original-Verdict: FILE UNKNOWN X-Amp-File-Uploaded: False Received: from fmsmga008.fm.intel.com ([10.253.24.58]) by fmsmga107.fm.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 23 Nov 2020 17:11:37 -0800 IronPort-SDR: FYMxggRxC6XsNVUSmzmdYEkRXZ5wjRrfT8KKdRdxtoCVpREtRMx89FwTJu3e/2w/sBEqMVGQbi zC+bY3yYd3mw== X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.78,364,1599548400"; d="gz'50?scan'50,208,50";a="312387198" Received: from lkp-server01.sh.intel.com (HELO 1138cb5768e3) ([10.239.97.150]) by fmsmga008.fm.intel.com with ESMTP; 23 Nov 2020 17:11:34 -0800 Received: from kbuild by 1138cb5768e3 with local (Exim 4.92) (envelope-from ) id 1khMrl-0000Hg-QC; Tue, 24 Nov 2020 01:11:33 +0000 Date: Tue, 24 Nov 2020 09:11:01 +0800 From: kernel test robot To: Joe Perches , Jack Wang Cc: kbuild-all@lists.01.org, Lee Jones , "James E.J. Bottomley" , "Martin K. Petersen" , linux-scsi@vger.kernel.org, linux-kernel@vger.kernel.org Subject: Re: [PATCH 1/2] scsi: pm8001: Neaten debug logging macros and uses Message-ID: <202011240903.m0agKqGz-lkp@intel.com> References: <49f36a93af7752b613d03c89a87078243567fd9a.1605914030.git.joe@perches.com> MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="OXfL5xGRrasGEqWY" Content-Disposition: inline In-Reply-To: <49f36a93af7752b613d03c89a87078243567fd9a.1605914030.git.joe@perches.com> User-Agent: Mutt/1.10.1 (2018-07-13) Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --OXfL5xGRrasGEqWY Content-Type: text/plain; charset=us-ascii Content-Disposition: inline Hi Joe, I love your patch! Perhaps something to improve: [auto build test WARNING on scsi/for-next] [also build test WARNING on mkp-scsi/for-next next-20201123] [cannot apply to v5.10-rc5] [If your patch is applied to the wrong git tree, kindly drop us a note. And when submitting patch, we suggest to use '--base' as documented in https://git-scm.com/docs/git-format-patch] url: https://github.com/0day-ci/linux/commits/Joe-Perches/scsi-pm8001-logging-neatening/20201121-072328 base: https://git.kernel.org/pub/scm/linux/kernel/git/jejb/scsi.git for-next config: i386-randconfig-m021-20201123 (attached as .config) compiler: gcc-9 (Debian 9.3.0-15) 9.3.0 If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot New smatch warnings: drivers/scsi/pm8001/pm8001_init.c:399 pm8001_alloc() warn: inconsistent indenting Old smatch warnings: drivers/scsi/pm8001/pm8001_init.c:472 pm8001_ioremap() warn: argument 6 to %llx specifier is cast from pointer vim +399 drivers/scsi/pm8001/pm8001_init.c d384be6ede5caa2 Vikram Auradkar 2020-03-16 259 dbf9bfe615717d1 jack wang 2009-10-14 260 /** dbf9bfe615717d1 jack wang 2009-10-14 261 * pm8001_alloc - initiate our hba structure and 6 DMAs area. dbf9bfe615717d1 jack wang 2009-10-14 262 * @pm8001_ha: our hba structure. e802fc43ba36be0 Lee Jones 2020-07-13 263 * @ent: PCI device ID structure to match on dbf9bfe615717d1 jack wang 2009-10-14 264 */ e590adfd2b35aec Sakthivel K 2013-02-27 265 static int pm8001_alloc(struct pm8001_hba_info *pm8001_ha, e590adfd2b35aec Sakthivel K 2013-02-27 266 const struct pci_device_id *ent) dbf9bfe615717d1 jack wang 2009-10-14 267 { 05c6c029a44d9f4 Viswas G 2020-10-05 268 int i, count = 0, rc = 0; 05c6c029a44d9f4 Viswas G 2020-10-05 269 u32 ci_offset, ib_offset, ob_offset, pi_offset; 05c6c029a44d9f4 Viswas G 2020-10-05 270 struct inbound_queue_table *circularQ; 05c6c029a44d9f4 Viswas G 2020-10-05 271 dbf9bfe615717d1 jack wang 2009-10-14 272 spin_lock_init(&pm8001_ha->lock); 646cdf0083e3d4a Tomas Henzl 2014-07-09 273 spin_lock_init(&pm8001_ha->bitmap_lock); 3927c0782a3ac80 Joe Perches 2020-11-20 274 pm8001_dbg(pm8001_ha, INIT, "pm8001_alloc: PHY:%x\n", 3927c0782a3ac80 Joe Perches 2020-11-20 275 pm8001_ha->chip->n_phy); 05c6c029a44d9f4 Viswas G 2020-10-05 276 05c6c029a44d9f4 Viswas G 2020-10-05 277 /* Setup Interrupt */ 05c6c029a44d9f4 Viswas G 2020-10-05 278 rc = pm8001_setup_irq(pm8001_ha); 05c6c029a44d9f4 Viswas G 2020-10-05 279 if (rc) { 3927c0782a3ac80 Joe Perches 2020-11-20 280 pm8001_dbg(pm8001_ha, FAIL, 3927c0782a3ac80 Joe Perches 2020-11-20 281 "pm8001_setup_irq failed [ret: %d]\n", rc); 05c6c029a44d9f4 Viswas G 2020-10-05 282 goto err_out_shost; 05c6c029a44d9f4 Viswas G 2020-10-05 283 } 05c6c029a44d9f4 Viswas G 2020-10-05 284 /* Request Interrupt */ 05c6c029a44d9f4 Viswas G 2020-10-05 285 rc = pm8001_request_irq(pm8001_ha); 05c6c029a44d9f4 Viswas G 2020-10-05 286 if (rc) 05c6c029a44d9f4 Viswas G 2020-10-05 287 goto err_out_shost; 05c6c029a44d9f4 Viswas G 2020-10-05 288 05c6c029a44d9f4 Viswas G 2020-10-05 289 count = pm8001_ha->max_q_num; 05c6c029a44d9f4 Viswas G 2020-10-05 290 /* Queues are chosen based on the number of cores/msix availability */ 27bc43bd7c42b39 Viswas G 2020-10-05 291 ib_offset = pm8001_ha->ib_offset = USI_MAX_MEMCNT_BASE; 05c6c029a44d9f4 Viswas G 2020-10-05 292 ci_offset = pm8001_ha->ci_offset = ib_offset + count; 05c6c029a44d9f4 Viswas G 2020-10-05 293 ob_offset = pm8001_ha->ob_offset = ci_offset + count; 05c6c029a44d9f4 Viswas G 2020-10-05 294 pi_offset = pm8001_ha->pi_offset = ob_offset + count; 05c6c029a44d9f4 Viswas G 2020-10-05 295 pm8001_ha->max_memcnt = pi_offset + count; 05c6c029a44d9f4 Viswas G 2020-10-05 296 1cc943ae5003e46 jack wang 2009-12-07 297 for (i = 0; i < pm8001_ha->chip->n_phy; i++) { dbf9bfe615717d1 jack wang 2009-10-14 298 pm8001_phy_init(pm8001_ha, i); 1cc943ae5003e46 jack wang 2009-12-07 299 pm8001_ha->port[i].wide_port_phymap = 0; 1cc943ae5003e46 jack wang 2009-12-07 300 pm8001_ha->port[i].port_attached = 0; 1cc943ae5003e46 jack wang 2009-12-07 301 pm8001_ha->port[i].port_state = 0; 1cc943ae5003e46 jack wang 2009-12-07 302 INIT_LIST_HEAD(&pm8001_ha->port[i].list); 1cc943ae5003e46 jack wang 2009-12-07 303 } dbf9bfe615717d1 jack wang 2009-10-14 304 dbf9bfe615717d1 jack wang 2009-10-14 305 /* MPI Memory region 1 for AAP Event Log for fw */ dbf9bfe615717d1 jack wang 2009-10-14 306 pm8001_ha->memoryMap.region[AAP1].num_elements = 1; dbf9bfe615717d1 jack wang 2009-10-14 307 pm8001_ha->memoryMap.region[AAP1].element_size = PM8001_EVENT_LOG_SIZE; dbf9bfe615717d1 jack wang 2009-10-14 308 pm8001_ha->memoryMap.region[AAP1].total_len = PM8001_EVENT_LOG_SIZE; dbf9bfe615717d1 jack wang 2009-10-14 309 pm8001_ha->memoryMap.region[AAP1].alignment = 32; dbf9bfe615717d1 jack wang 2009-10-14 310 dbf9bfe615717d1 jack wang 2009-10-14 311 /* MPI Memory region 2 for IOP Event Log for fw */ dbf9bfe615717d1 jack wang 2009-10-14 312 pm8001_ha->memoryMap.region[IOP].num_elements = 1; dbf9bfe615717d1 jack wang 2009-10-14 313 pm8001_ha->memoryMap.region[IOP].element_size = PM8001_EVENT_LOG_SIZE; dbf9bfe615717d1 jack wang 2009-10-14 314 pm8001_ha->memoryMap.region[IOP].total_len = PM8001_EVENT_LOG_SIZE; dbf9bfe615717d1 jack wang 2009-10-14 315 pm8001_ha->memoryMap.region[IOP].alignment = 32; dbf9bfe615717d1 jack wang 2009-10-14 316 05c6c029a44d9f4 Viswas G 2020-10-05 317 for (i = 0; i < count; i++) { 05c6c029a44d9f4 Viswas G 2020-10-05 318 circularQ = &pm8001_ha->inbnd_q_tbl[i]; 05c6c029a44d9f4 Viswas G 2020-10-05 319 spin_lock_init(&circularQ->iq_lock); dbf9bfe615717d1 jack wang 2009-10-14 320 /* MPI Memory region 3 for consumer Index of inbound queues */ 05c6c029a44d9f4 Viswas G 2020-10-05 321 pm8001_ha->memoryMap.region[ci_offset+i].num_elements = 1; 05c6c029a44d9f4 Viswas G 2020-10-05 322 pm8001_ha->memoryMap.region[ci_offset+i].element_size = 4; 05c6c029a44d9f4 Viswas G 2020-10-05 323 pm8001_ha->memoryMap.region[ci_offset+i].total_len = 4; 05c6c029a44d9f4 Viswas G 2020-10-05 324 pm8001_ha->memoryMap.region[ci_offset+i].alignment = 4; dbf9bfe615717d1 jack wang 2009-10-14 325 e590adfd2b35aec Sakthivel K 2013-02-27 326 if ((ent->driver_data) != chip_8001) { dbf9bfe615717d1 jack wang 2009-10-14 327 /* MPI Memory region 5 inbound queues */ 05c6c029a44d9f4 Viswas G 2020-10-05 328 pm8001_ha->memoryMap.region[ib_offset+i].num_elements = e590adfd2b35aec Sakthivel K 2013-02-27 329 PM8001_MPI_QUEUE; 05c6c029a44d9f4 Viswas G 2020-10-05 330 pm8001_ha->memoryMap.region[ib_offset+i].element_size 05c6c029a44d9f4 Viswas G 2020-10-05 331 = 128; 05c6c029a44d9f4 Viswas G 2020-10-05 332 pm8001_ha->memoryMap.region[ib_offset+i].total_len = e590adfd2b35aec Sakthivel K 2013-02-27 333 PM8001_MPI_QUEUE * 128; 05c6c029a44d9f4 Viswas G 2020-10-05 334 pm8001_ha->memoryMap.region[ib_offset+i].alignment 05c6c029a44d9f4 Viswas G 2020-10-05 335 = 128; e590adfd2b35aec Sakthivel K 2013-02-27 336 } else { 05c6c029a44d9f4 Viswas G 2020-10-05 337 pm8001_ha->memoryMap.region[ib_offset+i].num_elements = e590adfd2b35aec Sakthivel K 2013-02-27 338 PM8001_MPI_QUEUE; 05c6c029a44d9f4 Viswas G 2020-10-05 339 pm8001_ha->memoryMap.region[ib_offset+i].element_size 05c6c029a44d9f4 Viswas G 2020-10-05 340 = 64; 05c6c029a44d9f4 Viswas G 2020-10-05 341 pm8001_ha->memoryMap.region[ib_offset+i].total_len = e590adfd2b35aec Sakthivel K 2013-02-27 342 PM8001_MPI_QUEUE * 64; 05c6c029a44d9f4 Viswas G 2020-10-05 343 pm8001_ha->memoryMap.region[ib_offset+i].alignment = 64; e590adfd2b35aec Sakthivel K 2013-02-27 344 } e590adfd2b35aec Sakthivel K 2013-02-27 345 } dbf9bfe615717d1 jack wang 2009-10-14 346 05c6c029a44d9f4 Viswas G 2020-10-05 347 for (i = 0; i < count; i++) { e590adfd2b35aec Sakthivel K 2013-02-27 348 /* MPI Memory region 4 for producer Index of outbound queues */ 05c6c029a44d9f4 Viswas G 2020-10-05 349 pm8001_ha->memoryMap.region[pi_offset+i].num_elements = 1; 05c6c029a44d9f4 Viswas G 2020-10-05 350 pm8001_ha->memoryMap.region[pi_offset+i].element_size = 4; 05c6c029a44d9f4 Viswas G 2020-10-05 351 pm8001_ha->memoryMap.region[pi_offset+i].total_len = 4; 05c6c029a44d9f4 Viswas G 2020-10-05 352 pm8001_ha->memoryMap.region[pi_offset+i].alignment = 4; e590adfd2b35aec Sakthivel K 2013-02-27 353 e590adfd2b35aec Sakthivel K 2013-02-27 354 if (ent->driver_data != chip_8001) { e590adfd2b35aec Sakthivel K 2013-02-27 355 /* MPI Memory region 6 Outbound queues */ 05c6c029a44d9f4 Viswas G 2020-10-05 356 pm8001_ha->memoryMap.region[ob_offset+i].num_elements = e590adfd2b35aec Sakthivel K 2013-02-27 357 PM8001_MPI_QUEUE; 05c6c029a44d9f4 Viswas G 2020-10-05 358 pm8001_ha->memoryMap.region[ob_offset+i].element_size 05c6c029a44d9f4 Viswas G 2020-10-05 359 = 128; 05c6c029a44d9f4 Viswas G 2020-10-05 360 pm8001_ha->memoryMap.region[ob_offset+i].total_len = e590adfd2b35aec Sakthivel K 2013-02-27 361 PM8001_MPI_QUEUE * 128; 05c6c029a44d9f4 Viswas G 2020-10-05 362 pm8001_ha->memoryMap.region[ob_offset+i].alignment 05c6c029a44d9f4 Viswas G 2020-10-05 363 = 128; e590adfd2b35aec Sakthivel K 2013-02-27 364 } else { e590adfd2b35aec Sakthivel K 2013-02-27 365 /* MPI Memory region 6 Outbound queues */ 05c6c029a44d9f4 Viswas G 2020-10-05 366 pm8001_ha->memoryMap.region[ob_offset+i].num_elements = e590adfd2b35aec Sakthivel K 2013-02-27 367 PM8001_MPI_QUEUE; 05c6c029a44d9f4 Viswas G 2020-10-05 368 pm8001_ha->memoryMap.region[ob_offset+i].element_size 05c6c029a44d9f4 Viswas G 2020-10-05 369 = 64; 05c6c029a44d9f4 Viswas G 2020-10-05 370 pm8001_ha->memoryMap.region[ob_offset+i].total_len = e590adfd2b35aec Sakthivel K 2013-02-27 371 PM8001_MPI_QUEUE * 64; 05c6c029a44d9f4 Viswas G 2020-10-05 372 pm8001_ha->memoryMap.region[ob_offset+i].alignment = 64; e590adfd2b35aec Sakthivel K 2013-02-27 373 } dbf9bfe615717d1 jack wang 2009-10-14 374 e590adfd2b35aec Sakthivel K 2013-02-27 375 } dbf9bfe615717d1 jack wang 2009-10-14 376 /* Memory region write DMA*/ dbf9bfe615717d1 jack wang 2009-10-14 377 pm8001_ha->memoryMap.region[NVMD].num_elements = 1; dbf9bfe615717d1 jack wang 2009-10-14 378 pm8001_ha->memoryMap.region[NVMD].element_size = 4096; dbf9bfe615717d1 jack wang 2009-10-14 379 pm8001_ha->memoryMap.region[NVMD].total_len = 4096; dbf9bfe615717d1 jack wang 2009-10-14 380 1c75a6796ea8b16 Sakthivel K 2013-03-19 381 /* Memory region for fw flash */ 1c75a6796ea8b16 Sakthivel K 2013-03-19 382 pm8001_ha->memoryMap.region[FW_FLASH].total_len = 4096; 1c75a6796ea8b16 Sakthivel K 2013-03-19 383 d078b5117f18dce Anand Kumar Santhanam 2013-09-04 384 pm8001_ha->memoryMap.region[FORENSIC_MEM].num_elements = 1; d078b5117f18dce Anand Kumar Santhanam 2013-09-04 385 pm8001_ha->memoryMap.region[FORENSIC_MEM].total_len = 0x10000; d078b5117f18dce Anand Kumar Santhanam 2013-09-04 386 pm8001_ha->memoryMap.region[FORENSIC_MEM].element_size = 0x10000; d078b5117f18dce Anand Kumar Santhanam 2013-09-04 387 pm8001_ha->memoryMap.region[FORENSIC_MEM].alignment = 0x10000; 05c6c029a44d9f4 Viswas G 2020-10-05 388 for (i = 0; i < pm8001_ha->max_memcnt; i++) { dbf9bfe615717d1 jack wang 2009-10-14 389 if (pm8001_mem_alloc(pm8001_ha->pdev, dbf9bfe615717d1 jack wang 2009-10-14 390 &pm8001_ha->memoryMap.region[i].virt_ptr, dbf9bfe615717d1 jack wang 2009-10-14 391 &pm8001_ha->memoryMap.region[i].phys_addr, dbf9bfe615717d1 jack wang 2009-10-14 392 &pm8001_ha->memoryMap.region[i].phys_addr_hi, dbf9bfe615717d1 jack wang 2009-10-14 393 &pm8001_ha->memoryMap.region[i].phys_addr_lo, dbf9bfe615717d1 jack wang 2009-10-14 394 pm8001_ha->memoryMap.region[i].total_len, dbf9bfe615717d1 jack wang 2009-10-14 395 pm8001_ha->memoryMap.region[i].alignment) != 0) { 3927c0782a3ac80 Joe Perches 2020-11-20 396 pm8001_dbg(pm8001_ha, FAIL, 3927c0782a3ac80 Joe Perches 2020-11-20 397 "Mem%d alloc failed\n", 3927c0782a3ac80 Joe Perches 2020-11-20 398 i); dbf9bfe615717d1 jack wang 2009-10-14 @399 goto err_out; dbf9bfe615717d1 jack wang 2009-10-14 400 } dbf9bfe615717d1 jack wang 2009-10-14 401 } dbf9bfe615717d1 jack wang 2009-10-14 402 27bc43bd7c42b39 Viswas G 2020-10-05 403 /* Memory region for devices*/ 27bc43bd7c42b39 Viswas G 2020-10-05 404 pm8001_ha->devices = kzalloc(PM8001_MAX_DEVICES 27bc43bd7c42b39 Viswas G 2020-10-05 405 * sizeof(struct pm8001_device), GFP_KERNEL); 27bc43bd7c42b39 Viswas G 2020-10-05 406 if (!pm8001_ha->devices) { 27bc43bd7c42b39 Viswas G 2020-10-05 407 rc = -ENOMEM; 27bc43bd7c42b39 Viswas G 2020-10-05 408 goto err_out_nodev; 27bc43bd7c42b39 Viswas G 2020-10-05 409 } dbf9bfe615717d1 jack wang 2009-10-14 410 for (i = 0; i < PM8001_MAX_DEVICES; i++) { aa9f8328fc51460 James Bottomley 2013-05-07 411 pm8001_ha->devices[i].dev_type = SAS_PHY_UNUSED; dbf9bfe615717d1 jack wang 2009-10-14 412 pm8001_ha->devices[i].id = i; dbf9bfe615717d1 jack wang 2009-10-14 413 pm8001_ha->devices[i].device_id = PM8001_MAX_DEVICES; 4a2efd4b89fcaa6 Viswas G 2020-11-02 414 atomic_set(&pm8001_ha->devices[i].running_req, 0); dbf9bfe615717d1 jack wang 2009-10-14 415 } dbf9bfe615717d1 jack wang 2009-10-14 416 pm8001_ha->flags = PM8001F_INIT_TIME; dbf9bfe615717d1 jack wang 2009-10-14 417 /* Initialize tags */ dbf9bfe615717d1 jack wang 2009-10-14 418 pm8001_tag_init(pm8001_ha); dbf9bfe615717d1 jack wang 2009-10-14 419 return 0; 27bc43bd7c42b39 Viswas G 2020-10-05 420 05c6c029a44d9f4 Viswas G 2020-10-05 421 err_out_shost: 05c6c029a44d9f4 Viswas G 2020-10-05 422 scsi_remove_host(pm8001_ha->shost); 27bc43bd7c42b39 Viswas G 2020-10-05 423 err_out_nodev: 27bc43bd7c42b39 Viswas G 2020-10-05 424 for (i = 0; i < pm8001_ha->max_memcnt; i++) { 27bc43bd7c42b39 Viswas G 2020-10-05 425 if (pm8001_ha->memoryMap.region[i].virt_ptr != NULL) { 27bc43bd7c42b39 Viswas G 2020-10-05 426 pci_free_consistent(pm8001_ha->pdev, 27bc43bd7c42b39 Viswas G 2020-10-05 427 (pm8001_ha->memoryMap.region[i].total_len + 27bc43bd7c42b39 Viswas G 2020-10-05 428 pm8001_ha->memoryMap.region[i].alignment), 27bc43bd7c42b39 Viswas G 2020-10-05 429 pm8001_ha->memoryMap.region[i].virt_ptr, 27bc43bd7c42b39 Viswas G 2020-10-05 430 pm8001_ha->memoryMap.region[i].phys_addr); 27bc43bd7c42b39 Viswas G 2020-10-05 431 } 27bc43bd7c42b39 Viswas G 2020-10-05 432 } dbf9bfe615717d1 jack wang 2009-10-14 433 err_out: dbf9bfe615717d1 jack wang 2009-10-14 434 return 1; dbf9bfe615717d1 jack wang 2009-10-14 435 } dbf9bfe615717d1 jack wang 2009-10-14 436 --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --OXfL5xGRrasGEqWY Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICLFJvF8AAy5jb25maWcAlDxLd9s2s/v+Cp100y6az4/ETc89XkAkSKEiCAYAZckbHtdR Up8mdq4fX5t/f2cAPgBoqPR2kVozg/e8MeCPP/y4YC/PD19unu9ubz5//rb4tL/fP9487z8s Pt593v/PIleLWtkFz4V9DcTV3f3LP/+5O393sXj7+vTk9ckvj7eni/X+8X7/eZE93H+8+/QC ze8e7n/48YdM1YUouyzrNlwboerO8q29fPXp9vaX3xY/5fs/7m7uF7+9PoduTt/+7P96FTQT piuz7PLbACqnri5/Ozk/ORkQVT7Cz87fnrj/xn4qVpcj+iTofsVMx4zsSmXVNEiAEHUlah6g VG2sbjOrtJmgQr/vrpReT5BlK6rcCsk7y5YV74zSdsLaleYsh84LBf8AicGmsF8/Lkq3+58X T/vnl6/TDi61WvO6gw00sgkGroXteL3pmIYtEFLYy/Mz6GWcrWwEjG65sYu7p8X9wzN2PO6Z ylg1bMurVxS4Y224M25ZnWGVDehXbMO7Ndc1r7ryWgTTCzFLwJzRqOpaMhqzvZ5roeYQb2jE tbE5YMatCeYb7kyKd7M+RoBzP4bfXh9vrYhzidaSNsGFEG1yXrC2so4jgrMZwCtlbM0kv3z1 0/3D/f7nV1O/5oo1RIdmZzaiCUSvB+D/M1uFM2uUEdtOvm95y8nVXjGbrbp5fKaVMZ3kUuld x6xl2YqYUWt4JZbhwKwFpURQuoNnGsZ0FDhjVlWDiIG0Lp5e/nj69vS8/zKJWMlrrkXmhLnR ahlIfYgyK3UVspjOAWpgFzvNDa9zulW2CuUCIbmSTNQUrFsJrnH2u8O+pBFIOYs46DachGRW w0HBVoCEgwajqXAZesMsSr9UOY+nWCid8bzXYKIuA/5omDa8n914RGHPOV+2ZWFiFtjff1g8 fEwOZdLcKlsb1cKYnolyFYzoTjgkccz/jWq8YZXImeVdxYztsl1WEcfr9PVm4pYE7frjG15b cxSJyprlGQx0nEzCUbP895akk8p0bYNTTvSZF7asad10tXHWI7E+R2mcDNi7L/vHJ0oMrMjW YGc48Hkwr1p1q2u0J1LV4fECsIEJq1xkhBz6ViIPN9vBgjWJcoUs18/U9d2zxMEcA42jOZeN hc5qWqMMBBtVtbVlekfMrqcJtq1vlClocwAWbuVu92Bn/2Nvnv5aPMMUFzcw3afnm+enxc3t 7cPL/fPd/adkP/EoWOb69TIzThTlwvHdhCamujQ56qSMg5oEQhv2kOK6zTm5JcgDxjJr6A0z gpTLf7FUtyU6axeG4CbYuw5wh5scAeFHx7fAScG2m4jCdZSAcEGuaS81BOoA1OacglvNMn44 J9ivqprYPsDUHLSg4WW2rEQowIgrWK1a54kdALuKs+Ly9GLaeMQtlYodtAhbq2yJHETwRTL5 zrmVchlKUXwwoypf+z8C5b4eD0hlEYeuV9AryCbpQaJPWIBRFIW9PDuZDlnUdg2OYsETmtPz SJu14E57BzlbwYY69ThImbn9c//h5fP+cfFxf/P88rh/cuB+XQQ2sgtXrLbdEm0G9NvWkjWd rZZdUbVmFdiIUqu2MeF6wQ3JSvIwltW6b0BshUf4dYTdFUzoLsARTbXt4sZxl43Iown2YJ3H nmeMLUBerrkm2q3aksNO0I6YJ8n5RmR8vnNgxl4LJTPluiBGdHafMg/gkILXAJpr6qkFw1gH v51urKP1oxNa01oMXESd4AaOFHnUb81t0i3sfbZuFDAu2iNwj2jL4hkVw6IDTphodqYwsGzQ deBo0WfOKxb4d8hasOvOg9EBC7jfTEJv3pEJXHudJ9EWAJIgCyBxbAWAMKRyeJX8fhP9TuMm UFVoIPFvmoOyToGtlOKao6/oWEJpyWqanxJqA39EEYePNCKNIfLTi5QGjEfGnYn2yjD1mTLT rGEuFbM4mWDbm4hfvQmiQo94UAkhlUBeC+YBQiXRyzpwHz0zHICLFasjx8g7baMbFGnS9HdX SxFG5YEe51UB56PDjmdXz8BfL9poVq3l2+QnyE7QfaOixYmyZlURcKxbQAhw3m4IMCtQsYEX LwIOFKprdRRWsHwjYJr9/gU7A50smdYiPIU1kuykOYR00eaPULcFKItWbHjE500xjEnbAsCD eFfg6c9qIhd6F5T0OwuFOaZpETBanSUnB/FUFEwBMc9zUp94PocxuzFCcdayT8w1+8ePD49f bu5v9wv+3/09eHAM7GiGPhw42ZPDFncxjuxUuEfCyrqNdEEk6TH+yxGHATfSD+e97iEEGPSD kg0DM67XVIaiYlE2wFTtcoYM9lmXfMiGxI0Ai8YS3bhOg0wqSev0iBDjfnA66bM3q7YowKdp GIw5RtszcYoqRJU4/eNWxsnAYUHbdxfdeaDl4XdoMHx+ElVhzjOI3wPxAAe0AR/UKWR7+Wr/ +eP52S+Yyg1zf2uwW51pmyZKWYKblq29o3mAkzJwmx1rS3S3dA0GSfhA9/LdMTzboktMEgwc 8J1+IrKouzEBYViXh7ZwQETa0/fKdoPd6Io8O2wCWkEsNaYT8tiMj3KNAR+qlS2FY+BCdJhX TuzdSAEsAZLQNSWwR7DPbk6GW+9p+aASPP7QqQHXZEA5ZQFdaUx4rNp6PUPnuJQk8/MRS65r nw4CE2XEskqnbFrTcDiEGbTzxN3WsWrwPg96cCxlBj0DU3JyM0fWugRdoOYLMJ2c6WqXYdYq NC9N6QOMCrQMmI8x/OiT/IbhMSBz417zzKfFnOpsHh9u909PD4+L529ffewbBCJ9N9cK2nu+ muRfUgECim3BmW01955vJMGdbFz+LMqdqSovhKFyoZpbMMT+amKkx24844FPpGm7hTR8a+G4 kAV6l2BmtuBpYBq6MclUmZya9rFCaMFNASGoOIT4w427Oj/rhBaRzvcOtpICFBW4vpjxwolo yujtgInBPQDPsWx5GIbDXrKN0JGqH2Cz8QhOaLVB6a6WwBDdZmCHAc/r6EfXbFYbGYMMymgf P8QYz9pFtNa+E+oOAOxisiaf7mxazKcB71a2d8WmBcY9HS78+4mmkXSIxKew+M27C7Ml+0cU jXh7BGFNNouTcmaki7kOQQGBSy6F+A76OJ42/QP2DY1dz0xp/esM/B0Nz3RrFB10Sl4UIGWq prFXosYLhmxmIj36nPZWJJipmX5LDv5DuT09gu2qmZPKdlpsZ/d7I1h23p0RHOhQv0ach04x fQkHbsyMu4ay3JvrI9pN17gEb5B9fuoiJKlO53HoBjdgM3zewLQyVmzA3TEgk802W5UXb1Kw 2iSGAGJ+2UqnyQsmRbWLB3Z6BGJeaQLVJBhoUrQuXRQxI/1GbufsDg4BNtUr+kMw6PlD4GpX hrnQAZyBiLBWHyLAZ6yN5JZFPuuAvV4xtQ3vq1YN93pKJzAOQTd6XNoGG5uHYXDt/BmDTjx4 NEteQr+nNBLv6Q5QQ3SQIiYATNjNIb5nctwAu9WIKG/ag4VCxAwPuqv2oWXIm4oAaq7BgfeJ kr4ewGVj8P4xHVjG6RbvzwQR2ZeH+7vnh8fopiII/XrT39ZJJuGAQrOmOobP8MYhclJCGudI qCuexEZ99DMz32j/eMmyHbB4GALFv5Ds9GKZHhg3DfiMSRgF+95U+A93aZLp6kSBAljS9/zi 3XpWAWmOBwTjtA2dr5Qi0wqDtBn+8DKeOniCSgDUCq/SvOs7Xc950Bs6V9djL97QngDIiSoK iDcuT/7JTuKSGpxJwwjvk6EzbSFEFhmVhnU+TAFCBOOCFDIimHBu8DyaV+CVDbUCeD8d8J+o kCGqwWPEW9+WX57Ec2ywb884swfndDpEk8pgRka3LrU4c0b+vhzvOa4uL96MZszqQIPhLww1 hBXXfBber3hURCczZLhF6GQ6DXWgtfzRpH4jmCsDsRCKNItvGRzaJz5iYTAQXCdaTooE4qXc mq07CuSXlCVSCtrVICgxxU7S8kIQR7G67k5PTiLmv+7O3p7QnH/dnZ/MoqCfE3KEy9NJAnyY stJ4vxskFvmWB2q7We2MQBUPQqFRjk5jMcIEYsZszOf+LDAljtnJeL9d5O5aGWIUVomyhlHO 4vI3nxvZ5EZFuTWZuxwEqKiK8sNULopdV+U2yF1PuvlIbBwxVs/SpqkgnmtQ39vwjq95+Hv/ uAAdf/Np/2V//+x6YlkjFg9fsYbQX/kNW+7zDNTR9EkKPgZv4fbIzlScNxEEuWuATrZJdlds zV3dCKUSZdTFEM6GzVm+wauOfDbGHCaTBsMAT24uBkjs8QA0qwKGu3rvLWjnIgSBidQpyxkp ziF3grsb4A5+DUbVcaUBtabWbZN0JsFy2L7MCps0YZbMQYBnLOhZPzfnBJjDxKGjdBtRxleV EcLl0ilb4sZpMt0NAhS313zTqQ3XWuR8zE3N9cOzoTwpmR5LV7ZkFmzLLoW21oZ+sQNuYGR1 MK9iJtxySDtzn+B3BBhzbgEuXNAc2MGkS+irQ8BtHN0xGh1X6sTI2UasLMEUxfVkfikrcKNY lbCOq6z1K0XF0DalZnk66jFcIjh+NhlwQqVS1oK/LQMdl85spWxTtWXvm6ecu0z3LzaXruPW QOAJXohdqQA3CQRruJiDx5d3IXl82o62XHHSjxoJuKh/J3rrOCZ0D1SU38HGFvNM5v8uaAUo 8MIWjluEvO69qjFqm/Q1aahdBhHI0TUOJh4qV0SDJYPYw9/o94o/WggqYNWbF3oxjY+hkamp HcQOBNgltuuWFYvy3qjIK/Dn0CUZb9KwAqd43P/vy/7+9tvi6fbmcxQ9DfIXx7hOIku1wapW jbn7GXRaYjQiUWAjqz0ghspebD1zyf6dRqiaMV1J3ctTDfAoXLnGd+ej6pzDbGhNRrYAXF91 uvnOEv5f6/3X6/z++ubWRZ/mtJqQfT6m7LP48Hj3X3//Go7ot4euCJs85cYp8xkJa7Js6Cn1 yAdzgbi51rhnNQjAOomkJ8Svs4jEp3CZuK2TYanydDIg2DwHV8FnkLSoaZ8/JhUZneiOqYyk k49uum98hhtmNB8E9gdRu5pnKlfpUzh1qdtEGyJwBcydrpZP3Bh5NO78n/68edx/iBzfqQiT UD0jW4kPn/exIuoNecTF7toAubNieU46VBGV5HU724Xl9DFFRMMVBVmt4FHDdUYYWowrCnIs jt9TRT4FI98NItxWLV+eBsDiJ/AYFvvn29c/h6KHbkSpMOyn71EcWkr/k7KPjiAXmscluh7O arIKGXBjiwAWXTb1l9eYFIyA0w+TYdSX/l7pNJcKIWJwJ11z+/btyWk415Ir0uGA0K1eHkjv zhRL8kxmNtsfxN39zeO3Bf/y8vlm4PU4VnV5uamvA/rYsQJXDC/4lc9XuCGKu8cvf4M4LfJR wfZNeB7WJuV5n7PoAYXQ8gqzK5JL391UAiMFmXgDuK/xitLScACs7iTLVhhj16rGtAW4/j46 DfsVJsO3G8uC1vfFVZcVfRUZMXqpVFnxcd7RSXrUnBrs0ZjhdWlkO+tJ9ZRYGAuGTcGfU+6V KpbDlWZNyHUjKC5WQehwaz+cnN1/erxZfBzOzxvIUBnOEAzog5OPeGUd3tfiRWcLAnHN+uL+ ccVARskARC6b7dvTIGmMFQQrdtrVIoWdvb1IobZhrRkdgqG45+bx9s+75/0tJk9++bD/CutA HTaZgUE0NDOrpEDMpZsS2FCkguY0iFLd8pWvNwqoBwgGJYce/NrXWRB78Xsr8TJkySMb53K/ GUxrZzBTW6QPD1NCzNaQhOGkp8xGW7sMF9bfZhh9HuY43QtFK+puic/aktUL2CcsGCKqatZp QYmHYpkFhVANDe+7wXeaBVWIWrS1L83iWmO8Xf/OMxvFUo4sChCnx2yux5VS6wSJlgFDXVG2 qiXeJhk4KmeV/astIiAHD8ditq8vMj4kgMilz7nPIL0J6+TBpvuZ+wevvjStu1oJy+NnE2Oh kOnyXc1Qn7s3S75FQnd+thQW9XaXHiM+2QWXrn+8mp4OxK0gwXXu6316HoptqqczYRgXHxw+ tJ1tuLrqlrBQX0We4KTYAt9OaOOmkxC5aBeYrtU12Aw4kqi6Na3xJPgE6xLRr3VV976caajK P+iEGH8o8NT9FuWtJM8zkv4j2LC0tieTsu1KhrmhPsuDNZUkGh+0UCQ933k58W9M+sv1ZDI9 1N+yzuBy1c5UrOEzA/+kcXgiTSzV8AxdliOovpgv8MzSJnOEQVd4GBVwToI8qFubtOu/gKNw qTrdNL8BwoLf0jOBq8JKOYV4t5YyvEKGkgfpsV6T1e4WC/YZKwLxspGiQxwWG6f5Z3dADolX CmBtddoctMBwn8gzkKMgyweoFjPbaC6wWl5zKkfpMMNNDDW3qIY1IeBbUFCkto1bjdWs6OAv 20SnQISK9zNwBuDa5cEYCh/hi7JPi50fIFhiVEavGvUmnhqlxCH4Bt3cvzLXV9uQbWZRaXO/ t2RzCjXtJtbSn58NF2Kx8h6NO1igyIKP7gSqvLCMfPbqua+5B+co07vmoLZ28kpGLy1Tm1/+ uHmCwPwvX9j+9fHh412c+0OifnuIrXHYwc1iccleiqPLwI/MIZo/fh0DE9uijh7S/ktPc+hK w1nhu49Q5t07CYNV/8GttJejcDn9GbuESTf7NqKnautjFIMNP9aD0dn4nYqZdxoD5cxzpR6N AqLBph+j8RlhKYwBXTe9XeuEdPdqVCBUA9OCQO7kUkUvV3oF5N60pvdry/56dPwJThAGiJq/ j8tBhydjS1OSwORbCdMLM8tLLSxd/DBQYVkzFey6J5D9xbEzjjod42pJOfK+38MCWLc8LPdt 2Mw7GyDwH2EZZDapxPDXyDePz3fIxQv77WtYng1ztMI7bv3N7GV0G6LA0Rpp6GsEsf0OBZY3 kxRDDxI08kQRaAjLtKAQkmUReGJFkytzdLAql3RTRMxdSptS0I3ayn0x4vj6TTuzh0MQybQk 14/hPzksfmrk4t3RTgMmDNoPCcGEHUIulO8xvRZLDMAwFxA+REOwu5LynwxR03vjgLugnVC+ ECQHUx9/KihArnfLWFAGxLJ4T2r8eLwphVCfBjmVupcL04Crhso0S99uTHf+PkWm5dXloVV1 32XJXTeu8mGeRF9RBGjjMMGFd+0VaxpUjyzPUZ92w33KgbswPHbrlrwY7vnir40EtK78pLvS 0HnoIE9vnN0h8X/2ty/PN3983rsvVy1c8eBzcFxLURfSomcX8GBVxOkTNymMe8b7KfQE+yfw AX/4vkymRRN/jsEjwE5QRZ/Yex9UjYc9N2+3KLn/8vD4bSGn5PZBYuhoSdxQaydZ3bI4VzMW 2nkcMdu+cdxb52qmfbswihm7815UGkDjd1fKNmrgSoJcOZCvs52K5/xSlmhyQ4XRA7w/S/m4 CczVMGqOMhDFJ6CQNUtIVzvjuLaz3cUbXy46nSn4lOT7Zf+qQqHrHoewQfA+JdMMlVQcuMwF Bv6TMLm+fHPy21j0fTxYorDgq1yxXWRoSTLpH9dS1aThC7F1wAEZhKG+hDDsPZv5CNZ1QxeX XS/bIDC6NnJ47TW17GHj+y3pNQvV2UDa35UPrvWQB8UE85D7i5RwPrzRxMTamu5848KNIjJP sC+ufn32YyrA56DX6mwlGflyFfElR+Z3ZaOuEDccwCXLVA3TtqvG1XuTuf9RQ2I/Ls5lUZHe vO6YjtkO2rPeP//98PgXXkoTpXcgWmtOvoyvw/sk/AU6MapBdrBcMNoBtxW9hdtCy4PCrxGL X29Yc+ryQfglTYfc+Nf6+Dkmun67mYr2XH09dTMKRE0dfsDL/e7yVdYkgyEYr1PoD0P0BJpp Go/rEs3MJ+k8skTzxGW7JaUWKTrb1nWSl9+h0lRrwend9g03lr4pQuz/cXYlzY3jyPr+foVi DhMzEVOvJGqx9CL6QIGUhDI3E5RE14WhstXdinbZDts1U/PvJxPgAoAJsecdahEyAWJHZiLz wybdX6N1n6U/gMNS+fStvaSBMuUm8gx3d8dod83VE3HCWUkFy5pks/h9kLknqOTI/eMAB1Jh XNCkRmtW+HX477adbURzWh62X+snaHNGNPRf/vLw49vl4S9m6XEwF+S1NIzswpymh0U919Hu QvuCSSYFzoHO/FXgUNWx9YtrQ7u4OrYLYnDNOsQ8o+PaJNWaszpJ8KLXakirFjnV95KcBCC2 SQGnuM/CXm41065UFXeaLKpBPx0rQTLK3nfTRbhdVNFx6HuSDQ4ZOpBSDXMWXS8ozmDuuJY2 Qsahfdo+x3o8ID5JwyKcibF9TOvMysZNa/rZFSJsLwFz1JMjcJJjw80DehRgmOhOA4GXTI88 xxfWOQ+2lAilbihwaxBGdHidRBZ2iPykWo69yR1JDkKWhPQxFkWMDpH0Cz9yRCh5c7ooP6PR obJd6vr8AsSYzOHXzMMwxDbN6fhZ7I8eMlbXZEZhegQJXp+JFGFqf/muDQYMny9tPbSlJguT gzjywuE/dhCIzugQ6qCeoG7fus+BOHMcfgpriv7kTrglHFXTIKQbgxzRFORigfu4i+suL9wf SJiN+tfI8wq4C3mynDt8vToeFvlC0FFpeHiWqB/dVyZy0PrOkFAQT+eLCeaqi6Wjj/N7jato tCC7LUDOJ20ovZwWQZd0tfHw49wPXE12zPA1vSj8DbQ9d200m+qWUQrhkeeg0AsTB2ezxRU0 6XVPS3g+nx/fRx8vo29naCcaEx7RkDCCw0EyaEarOgX1F9QeEO+kVEgkWqTckUMqvaVubjnp 1IfjsdKEZPW7s6wZAweE0h44k9wzVmrDwGmZhYXZroo4vXslG3ogMgFHlsPzSQqfG5pGnarN 9oRYKrWO3Kh8GCkeGgBWUvVEBVnvm43Po/RAKiFhsSuAu9mI7CvHegU1+lxw/uflgfB/U8zc PJPwt+sIM6yl9o8ab1gYidLgokwiXS9Dsk82S1JEFve4hYyxkpIvPXANE+nh7WBDm6jTHbxj 7RzMzZZh9KhdUVC/aZlBEtdH+kOI2Gx2pQvCGWl3e57f2h16ZYkgNVcYOk0InjOeUgbPFHvH mQ9EhEIrSGwtiV/CON4YbfI0KQz8a8zqF+bUkDe1uLvVYSYmketYCPLLudVHmQ/njFWi7YTW RPeg12nvogjSHl6eP95enhA6lHC/xyI3Bfw9cQSIIgPCqVPwEubQl4jsVfbqEJzfL789H9FH EavDXuA/4sfr68vbh1UR0PSPEmlHftD9IeUfvT066wuCuX15Ux+G1yqjDNAv36CPLk9IPvcr 25ia3FyqTafHM8bxS3I3AIjuTDec+UEIq2+49dWXG28SEiyNO/ngl9tbI3putPMmfH58fbk8 23VFMAnp0UV+3sjYFvX+r8vHw+9/YiaKYy0FFiGNtne9tG6dMD831k3MuG//ljf2FeM6Qghk Uzt5XfdPD6e3x9G3t8vjb/o96z3Cf3TZ5M8q9ewUWC7pzk4suJ0CCwt17bDHqXAYtHoHixtv pdl5lt545Rm/p4u5ZixnulmlbrUF9q/6Cm+07IuM3M94oF8S1glVIThMwn66tCagWizhoMc2 ud6YQT4uykpe1BFFmNGOXdZ9jE4ZJuRIQ0XDMy1bNRzSZ6FiltagkLxPr5dHvIBUs+rRFiC0 Rs9vyn7VWCaqsqSqhTkWS+IY0bPCrupRmfNS0qbkKnDUufOzvjzUktAo7Ru398pzaBdGGSmh QC8VcWY6LjRpVYz+RkQmmDxJ4KMHl3Fq5+pbbZyBfFGlNwatE/vTC2xfb13nb45ykRq3oE2S FCgDxNrWrjfLIve76IAOPbjLJd09VdsNQZRiaAMYyMnVZaH8aTqm7vrI9tmvm9tecEiXG5Qu jHvTdgjQnyTI+cFhNKoZwkPusMUpBvQ9r4sBmQndFmmTEbL58uK6ZpYbB9HEFiYSARpB6nK8 JoLkwz5CXMQ1SAoF1x2u8nBrXK+q3xX3WC8NBFbeS4xjY6Oqc+svh6DXuPSclFNmY44+Ejfy AJZO5+SicyysNtbqUWogJoIDR1ULg4XhUKGNKDvep2kxRU2hmtKXghbGXACr24SchbGJag0/ 5fiKvsDYupK8nt7eTe+PAl1Mb6QLirBL0/1THC0tMPZogAFGRwbnEFw9X5emgrLee/gvSGXo QaKAd4u30/O7ip8aRad/91qyjm5hpfTaId3RnNWT1Cqn9YpNQVoJNubjQfi7yiklidus+SZw FCqEgdMq4mqjw6DJrk6zXuNa5yFYA8qO1j8N/fhznsafN0+ndxCxfr+8UqKaHHEy2h0pX8Ig ZNYmgOlb1J76yVAQWjDl5Uua9GcWkJPUfrWpx7KGs+Ue74Xp550atkhj61djG6ZxaIQRIQW3 krWf3FZHHhS7amLX0KI7oPv6jDNHPS225cD3Jos/V44O/tU0mPcaI1NJoMKGOCOKWVqTr8jI clFvgyP6Sul+HCgEfisdxAu/n7ovuDXtYf5aCamV4K9FmBT6cXxlziuV8PT6qgWvSzuj5Do9 ICiQua+gKABtbPw3hPlxdLux3DK05Npx3dE9DVO6cWVH52AQ4R3GPZ1zGyLs4jBbhsh8QUBJ ibIv4+BmUaouNgrgbIfJjmyhWHtEJna7HM+uZBNs7aFLltjZOZOw+Dg/OZsTzWbjLeVAINuq m/hUQq0sml2ilCcf9KR7kIEdh2xtmjjksGXlVrGg2avZ2VkRBiaWehbm/PTrJ9R1T5fn8+MI iqqFgr6qIj8Ts/l8Yn1apiHy90b3XtFIltolezvqraVs1zRA32WKAFKvH5leXPSF/uDy/sen 9PkTwxb3zLdGIUHKtrQmNNw76loFlBO7UDgGExrcQk4pDJwLGUOTxM4H8dJ8x8rBAkexA+VX 7k3Hyv6i8uRkDNrzG7TAsA81/pIEtb3bwXZJ5ijDZfpX9a83guk6+q78ochpItnM0b2T73M2 B3T7ieGCzVbu1+59RQJYr8nFY+5qICjuE144wmSBepuuNRggSKg9cI00Q/6H35avFKQoH17K scrG/VLRcSaelyuh0t+RbNJAq+SmR2rHDRrrxmGs7nikJZ9TD2hqTD1LV03yy+XyZrWgPj/x lpQk0pCT1GqP7hgmvcKkVhlD54M+3pnO3l4+Xh5enoy1zIUPOaiPJRnCUBjVU0kSeYv2BwQO E3qljuYw7lnrAI9kH0X4g77PrJnIt0tYYB1V0DE8oI/YpiA06QqBeyPPpl5JXyx+dW2bTSn7 OLzOEIGQf5UhyNe0m0HbLQN0UdLg3Q3d1QTZaXhbzYKDA+ANDaZoVQgLCg8eb1qUiqbftLS5 NTKaZ0IH9pK6ix0c96FeykXZv9ZIDnGoXQ006h2kWgAFbV8fzLs0yapcqPyC9paQLLtj7IhE kWTHNa+kOV2dJNHPt7Z7S3Os6G1TMvDl/UGzcDSKZ5iINBdVxMU0Oow9M9oomHvzsgqylL7M CPZxfI97NKULr2MMbjeW3c5PClI2LPgmbvq8M19h4k1pgrk3pTOxmnpiZiLBhAmLUoGw4Qhm xRmJA8HEfD6dV/Fmq0dT6qnd08Rw+txYHEyL3BS5HjSQVTzSLFh+FojVcuz5+g06F5G3Go+n eq1VmkcBuDajUwDLfK6D5dSE9W5yc0Oky4+vxnrgaswW07lhqA7EZLGkdd1DbetV4QvkffAO xnNvPmfk2kv0O6fe097djiAvHysRbOybo6aYQ+YnnKbtuODw1214X+0FdfHLPPOgV79hCkOl /bzyJrJ7lTAXZqjCEBd9igI7n0d7htX0K1jNNUfsl4vlzZyoZ82wmrLSOOvrdB4U1XK1y0LH yxY1WxhOxuMZuTNYrWv7Y30zGffWoEp1ReFpVFjrYh+39p8aGOfn6X3En98/3n58l+9p1YBh H2jZw6+PnlAmfoSd6fKK/9X7ukCTAdmC/0e51HZnmqZ99OSUWOCZIeIpdTAOaZG4pcKfAYai HOJQZ90A0y5glPhVL9hDLHVhFQL4jBp1DAvmr6O389PpA3qImNOHNHPar68V0U5YtjN8pTCO DHqTIY4HcygSyJIjbvYwh7WauwXvr/0EtHk6P772Sd8BGMdguzlKgAjj5fCghT3Kns6nd9Dc zqDcvjzIGSeN0p8vj2f8879v7x9S8f/9/PT6+fL868vo5XmEAqZUr7TDFmF9yw1ITNYr5ZCM XuuGrQkTQcIy4NPw8RK5tfRFE6QJvzCMHpi2dcVE15lYL7hZSp1hdMupbV/PGZC1YAEasdYp QlUgZBBZPvBB065L4MAjEfHI5YA9hmg7PGWFw90cIZT7iodaGTA2aKWBhGY6f/7247dfLz/N yx/ZgVd8Zlplwf0qVcPC4mAxG/d7TKXD6bjrxXprHUGrXBqDvBqTsHCtx4bWSN1phSicmXNM ei0xjuAeaW5c3DaZ0s1mnRruGQ2l9651mwWOhoXucdBK5l8RYZ6cStioXuQz0vyQLbyyJAgR n8zLKdWLaOiclZQJseUoOC8zxxCVVJlFzjdReK1MFBo9athRmBxTZSox8+p0kyx0hEnDssuK 6YK6VWgYvsiHPBKqBoJNPPI5gXa6c070PS+WkxuPTPcm5JBIyrXeS8TyZjaZ98vMAuaNYQYg fAxVcktPQuqerm3p4XhL7k+C89gnYyQ6DhgEulkiYqtxeLX3izwGkb/frgP3lx4rqaldsOWC jaW+I5d4+vH7+c21yJV6+/Jx/r/R9xc4neDcA3Y4xE5P7y8jxGW9vMGJ9np+uJyeGoiWby9Q 2dfT2+n7+cOy4DaVmEk/AvryV1+DM4fBpD1fCuZ5N5RjTTuBi8V8MV73++EuWMyp/tnH0EHk /JMbSdNtiEzS2Jd7m6KELYl1fPTc54FEwtZOZuQyf/WeU8Q01+Eja1B/Wr1F8TcQVP/4x+jj 9Hr+x4gFn0A8/3t/pxbGTGe7XKW6sUuAmJNZSFG+IbKd1TYm3cqs13UlJUq3WzoGWZIlnqh0 PzE6v2iE9Her4wUCwdddbX5owxSBnFMKjFT+3WMyikcwzP5IyvSIr+EfgmBJVG26dKelX81U PHmmtaW56bCa/z9mZx7lg5+mZQApBSnwK5p0tWiwXM1qsnK7nio2d78h02yIaZ2U3hWedehd IdZTcXqsYFsr5YJyf2mXkV79kgYlrIydsUlVI2cW5KNHpPs7/s6fzD3q6OnIM69fqs/s+htk zm6MGtYJKEEIiU1QPz069WwOfJKpUG/zVrH4ZY6P4XRmuJpJet61rnG0TbZmVTp5/60Bkg1f gf+F+B6+15TlYVHcq0fcr7V7Zbd7Ndju1Z9p9+pquy1GvdX92rgbu/rvGruaWY3FBPsCVp0l B2pyytQroRAaEyo5EQljUDPtY94rP8iKinu0IUG1AQO6Yde4wpGz2BHnJ+khVM6j6TFoqPLY BNmrF+lm8zghmVuO/r4cg2xLpnrYYzI0bBv+MvGWVC6DbvW4KsHdaBH7eZHdXdkp9xuxY879 YYfmsaw3XKBowUnqUDFVze5z2gjSUKlDr7YIZQdTXpFP/spDtRf+oh4nrvAlYAXYYjXfZXut RaByOllNrmy6GxUm5LT5GEzcEW8smbYBeePUSAK2dNa4ryYsn0+X417DeOYUGvDdTDMUsEn2 J6SOpES/zLeqwOO4X8hXnlVhlk1oVa7jEehTzArKhUcNTBHax6K4j+dTtoRtyXNSJDy/unNH vwdpk5q4eBs8B38rtKfqLS5cW5JjMbPnTscTkxfg9UDkdr9luf3KWJtuQv3K5Du5kBC6iSbA ou8P/13k96UbY2qz6Wr+0yrRxwatbma94hKRTSnvO0k8BjeTlT1YvTeP1CSKrwobWbxU2qCe aGPCGZIl4RbVuET1xSGbpV6X11gSnnzxK6ckWHPdufe6mkPNlbl7eQW7/pG3q/LAp/DLGvIO 1tHR7vhdFcasn+hHe78nuFtKY3v861FEaIGVukGXlPkyYKix7hq354ahlJJrgEcik9oZnYZR WYHM1EuUIq/Fkf3r8vE7UJ8/ic1m9Hz6uPzzPLo8g87/6+lBe5ZFluXvjO0Uk+J0jdivkQxQ jTi77wBW2yytSVSTkzCZhQffSrpLc35nfQL2VzZZeIbRTZUtI50wn7v5gkce5Q4jaZ2NFBv/ YPfKw4/3j5fvowDRwfo9kgWgiNq6PhZ7J1ze/KpGJX1diLR1HJhQZMqAy9NPL89P/7ZraSJc QfbafmydqTpHXJvrzHzKtEYtMUlGc601h/t+SdjwgPeKVhZdeoUj/ciTdZoE1SFa99rdRGj8 enp6+nZ6+GP0efR0/u30QPjAybLa65BOtKQlEOUqIe83iTZv9iZcuvqNFgm96CbVJ6OEFJEQ P2sKM0MD6lTChqKuKMIwHE2mq9nob5vL2/kIf/7eN1iBThQi+oJRcJ1Wpa5V0nKIdUZf/7cc Cal7dORU3Osb5dVat6K9z0C/SsWujkrSHax9hs+mo3duuC60AYF6KB1SGGm9+2qcWC4wH+mt QlKwLdu9y2IQ3sknV64Au7kceBDCK3R51voMsXPou6vMSTqULgpKuY5AsDUoAvuAdiTaOlCC oH7C4YcB7WLqWR2SnHMn6E6xp+sO6dVBjmeeClE5Cj6EDier2knM9dUkcrlfgZprZWr8mj/e Lt9+4HV3HaDpa0jkRjxNE5X+J7M0kzfEByMS/XVFbP4B9LE0r6bM9FcMoylZfZCSJvRVUS1K AcMNffR0DMsV3adpXoS0SFjcZzvaK0hrgx/4WREawb51knxaGreQgQK2obm2w2IyJa+L9EyR z3IOHzFFRJBSUjKqzshahOaFGKgdLpW39hYpHFCkXaGx/9UsNEz8dvCH8prOeHGwnEwmtrdl S4+cj0tmOMmn9E7fyO4xc+0rCV/QcwwfWCu3ZDyp3grYPZNCFxx0ou5Ap6djB6WWvBy54MKi iZPgsJICxTWuQxNsD6K6IQCqlCpZL5ekyqJlXuepH1hrez2jF+iaxbij0zsaWuRJAnNN2IJv UzsUXSuMXujqSWz0k3NlHJjC0GCm3kPWMlEWfi1PjaNhyHQ+ialmZDrwfUzOJbYLI2HacOqk qqAnTkum+6sl0wPXkQ+bgUrzPDcxh5hYrn4OTCLGBUvNHYVTiq+eRcJJG7NWhY+RO1FXm7IK me9w/R3cvgJz81e4pxGn7rL0XDVQVPehyKNdv8U+Cewtr18eSJNRaDpvhN5g3cOvbMcNS61K qZIMLcgJnE0xOgDbC7Rf0mb/hRfCeJe0sajEhy+T5cB2ox4yNAaOxIHSsuz2/tF8m3vHB2cI X3rGrbpOQtdDoytcOEOhU++TFIf745Y2bUP6wYHzWrqy2KeRSXEVN3PVDAiuPI6TdhNPxvRs 5Vt6X/4SDwxn7OeH0HyCJz7ELrw/cbulayZu7ymjpP4h+IqfpMZaiaNyVrnucKJy3tOndao4 XiVvKM8cvT6c5ebEuxXL5XwCeWmPv1vxdbmc9XxK6ZJTe4FD229m04HlKHOKUEev0Kn3ubHu 8Pdk7BiQTehHycDnEr+oP9ZtoyqJltTEcrok4wj0MsMCY9DM10s8x3Q6lKQTlFlcniapFRez GdjlE7NNHOTI8L/bV5fT1ZjYVP3SqQSGnmt3AtKt81aqgVxy4tjiizP0XdUxWI5/Tgd64sAD 0yYvDb4BHVOlZUxvTcsb21Wu3Q/KSgf2f4WWD/2+5Yn5QNbOl08CkwXfhwh3syE9hfXCw0Tg u3TkolH3AfoX7yJ/WjocyO4ip4QLZeLdpot8R+KX6xXZo9O6eU93xzCWwgVXnceD0zQPTLir xXg2sD4RA7EIDRHId5holpPpyhEehqQipRd1vpwsVkOVSELjil2nIeJwTpKEH4NUZl5l4cnr iBDUc4b6A6Y6IY38fAN/zPtoh8UN0hHwiQ0ZJwSH7d68RFp54ykVaWbkMn05uFg5dhQgTVYD Ay1iYcwNEbPVZHXVWiNZoKb0Ks84c0lm+K3VZOLQ8pA4Gzo3RMrQrlfSFitRyKPRaE8RS3Pu 4NDvE3O/ybL7OHQ8robTyxFSyxDR2YGIkfD9QCXukzQT5gM0wZFVZbSNyWtFLW8R7vaFsRmr lIFcZg58bBwEJkSlFw7c+8Ky0PTLPJgnCfyscnzNnT7bOV5ARjCsBeWAoxV75F+tuHuVUh3n rgnXMkyHbCIq6k8vvI4D9Evu3nprniiCvh4coJLnltGlXk9I8DLa42cTBPRcArnRcfkqEdDX 7suv3b0L6TlWwIkHS6+oYzlEHy5EQ9PsUbUvRo6HWbKMThe0fr4Xa/UIQHtf00k/QGJ+QY8S Em9BG3VYLJGchVtfOJDVkJ4X0XIypzu0o9M7ItJRrF86hAmkwx+nYAdknu3oDeyoDhDtV2f4 /g9jV9LsNo6k7/MrfOw+eIqLuOhQBxCkJFrcTEDL80Xx2vZMOcblctiuaPe/HyQAkgCY4POh XlmZH7EvCSCXVu3fGI+f7I39tKEGKLjJSi5FE23NYDImy7hwRLjTTRLCmg79HtbIaseBMdhN 4kNtrFmbYO/xZqLLKRdjVkJE9rapeZpD2COxXY9bvFnWwpim1pzJMLU7TDr34N89laYoZbLk 3XjVdZhSzkie6Np6oJKe8l/dPoGz+3+sAwP8Ezzqg6nijz8mFOL97YbuJFJ8lg+tXo8Nmr3p saGFMxh+dapvwx4eExKtgOg/wkHmrMb3f/kQi/iiX65gWOl1wrBq5/rL179/eA1F6m64GKNA /nw0lRnxWtEOBwgN2FhubRQHYksoTycWWQUlPFueNBWnJRDZVHNmj4mfn8U+MKuq2NaL6jN4 S3e85lqAN/0TUo7q6rhhmcjOamU0ls9/v/ryXD1NNoPLjY2miTUT30AMwACGdEglbEhuuLFz OHuMw88FXqK3PAw8246FyTbL9JZHYRqgGZQ6NMuY5vjz2oxszucCO7vOAPDxhlROun6DYVaV CJdTku7CFOfkuxBrSDUEEUbT5nEUo/UEVoyvBka69yxOsDPpAqEMK9AwhlGI5ttVN+559Z8x EF0Hrijx1WiG6WPtVvEY72/kRp6QMopPfYNMHJE8RtBLEcV8xzZPo7diMbbvaPq8jR68v9AT Hnlwwd2aXRDj4/TOXxh9lAziZImNisIOq2isR1uLEcRuM7bkifIgHWn6I8aIrdZd6CV2LjDY NZIY7YuRIPTjIcIKdRxNucMiP1qUc6nFhGx7jhZZylaEYpdKM4bVZXWru9J2fzyzebtd7Xql UOqwvB4XXFyEqjnPqBsZx7rHCwnGtY1P4WCpKYRi7kfs6dfGgJsaNB8GUXQ94sDSYre6fOOJ gTiD3p2q7nTB73lnUFngujRL75O2op5VaSnPZSz640gO2OvAMnxZEoQhWmvY0S+obeIMuQ+k REYnkB+Hg49jSzhGTzdnMWzFXoiXZ7iPnsevCXFgNUk9j3pyXZDhCT3hUBUAljlGx6rCJFu9 9NT2jZui5vnQ5mlwf/SdL0DrDJtQrrREyiy0XQSYdHA0s1F0eX6DZVTWwVuAoiXKR5ErksX3 4FFcOEcvPbWwSdlwHpHFWGy8WbqP4daN19i6MePy/T7TMCQdGsZZHj+G2/hCSdpWCBemJyvd BgNxIqICVUowRVU5jv4NZimmU+mT9xfYtS5GTO1EQW41BEHtHgXvVmI64Q1hE2fVcbV0v88r bCGcxV6xRHUat07jfOdvMMFnOiTcqlFIXZVbrKeKuIoSikHbMPCnB2quDeGgJTD1pMPnl6UT XS4fWJpEYW4h3HmoRAl8JHiw271zQQ9bAz3kiW19oxm3Vg+ZjZwBtMrVwYznPEigHlurghxd Y8/J+ATe53rLXYqClGQfpPG8bji53IR4HMKqsrVAlPcm3uF3WApRt0y0CB7vWCPesijdb9WX tiQO0NtaxYfjvxAFfbcDOpuyIrBTsEb8qyBoZGp1zu2pXrQeQk4gqxW1HK8RLMpqnK6mpWSn icF2CqIA04qFqX6Obb1b6Y9Lom+5lkzWYvKIZB2kXz+HIr3G9g49KrUnMhcfhitK5FJsQV3T 8HcjzcS7XTET6/Qpj/On528fZHCT+rf+leupwa4N4mPXQcifjzoPdpFLFH+1T77lAloyKM8j moWetywJGch49vj81ABaDwy1w5Pspi4E2y3RSG4uSWv6ImBBAt+f6+KLRnk4ebuIocALp2/A sMsS9aU61KPfXpyWB2HT9nk4UR4dS5IcoTc7hFi1lzA4hwjnICQiJfLp1whs5Cy+5JAbNXVf 9cfzt+f3PyAKmOuelHNjZbia7huVAQIYh3ZMbGna7+CMnAAYTaxOYoNYOKcbil7Ij6KWZiUL +9LV973YCLn9ZKjMkSQZ6aBGRteC+DoQdGi6xWMfv4ETnpV9k/I2/ajI2DxRczPWjDyyHYLO RCEUDSMoblalEZEDwSk/0NYAm1hhmiQBeVyJIHUcNY820Ac4t57xTFYta5XAMi40GNWdjL6y UY+JvgFpxV7VojrDJqobHxcZCWeHccdLB4HQtiDVHXbBajVN52KQ7kmFSXuxxIQNleivK+T2 IlgGQXL97qLIsuIV5b8EHXHXK2ZiN7FqeobcDaePPMrzO85rBuYZmK0ZMVMzIPDQYlOqHVx9 eQ14UV45haRHpbVTJ/U9NGxT8/VAnBjekToD5vESOgjbW7RBNNJ02/wNw58xNJvVh9pjzaUR DZhVYJ6XpxQo7Ux3dhZ5o2CMhmnNMs+bqQaJmVFUY0lQ7zIao3fON5yAbd1qn1wjpkK9mKRO zsuD86qKTuhOWxNUkEs5wtkqDJPI9DuDYF8sGejfeWo5sV5ORGs1DAyvoc32jlfLqGahbeFh aKsGC1fFHz02opp9YGIoDi8tWxJVd+At8SUoBS0jMbYfZX2sqdgqPYbVCg0r/bvQ4zBxGtGD a9k5hx6xtl6nXVrKx8Z5XNEsFWqzKx0RTarGca+9DH2iDSk9rw1tfyfq2b3xKONKhPRB41P+ fuoo3ApsMluP5odmP46eWzbUPUb3OJWNbebxODLMD2rXv+sdTWaI58BR3SPp8UO05oWbx2lF ZXYg8esU29CmWXFDgCBOr2bmmjSfZLeGj3zwRiOeSEdRZtbNsJ5lw2A9r2pbVWT9rYe2Fqeo rmx8dxdDW2gtIfVScCCo+YsQXEfQt7VeX2aiDO8qDgpOaIoVbGX3sbCIx8h+QRRkF+PGVQvm WPXlZvFdfTaT4Z0EC4iK+esxBV9Ad9DwQW+fSt7YWrjDAGakWKOxvnsaZr/bSn/j1Xv/qWae bPbNBfi2ECLjY4dfwyzsnePEZox8t0MDmLY3TlxTQ3nMU1Jj0bnhgecZ/Sn2zGl1nOEDzbM4 /Snp2Cogzj/2giqGsxiIZhKCcsbHZnd1gldBOKbNaKpX71p8GlDVbTH9jvRUwSsMzBJj8aHi v8FQpDLm02AVSiJrbLnQHLhfmpW23M8kU2yUdVeht+gmrLtce+cSFtiikdEqA09m60l2ytVN 744+qQCHjoULvnJwCzP2d3Rd14VnPI7fDdHOaV6DY/vmFwuRDLuxUIQo1DwVtvHkRJOxe5Dc Z74OVDWFW98Y/VMPjxfGIfotfo9mgsAhpwopvLpWg2vFtSZRZJvI06GWfduLI/yxxh9SBFve DkG0L2sDiag//qBkinOjresjiO3lPq1c7d+ff3z6+vnjT/AsLEor47ohIQPkAB4LdRklEm2a qvOYOekcVgsCAhB/NxENp7s4wJ2lTZiBkn2yw3ceG/NzG1N3IP1tYkQHefll9auptM2dDg0u n272h9mHOtY1XC3ZnUuaY1/UfE0UjTD1OqQ8X9xBxGLHj/RAX7EW6H+AK+nFGRGmWKiSr0PH hbnLTWN33GrP7b6P2jJLUqcWkvZguzyPVhzwlLDKogWFIfwwI1fdPPAPnJrhT7OS1ToNDB6X dm72ndSa8GevLMHEPMBMFQAgXY7vEzsrQUztBwFN3af+2STkKk8egjNIMw7ZqdLN2OpiUmZA 29ocP9//8/3Hxz9f/QviXetQmP8Az+Of//Pq45//+vjhw8cPr37TqNd/fXkNPsv/6Q4cCouz R3JQk4rVx056tXTfbRw2a4jn8sQBbkRucJFWlATBq45R4PR71VZXZyy6AtJEe+gIU92bVSRw A3mu2sH2cC/3hpXOmjn2KEGcr0nOnawI62qN5/i+Hk4t9/gCAra6l1jtd9VPsal+EedrgflN rSDPH56//rBWDrOx6x5Uoy/mzi/pTRe5JdKhDT1tMPZFzw+Xd+8ePasPdmqc9OwhhE6HWncy cNTvtnf9ueDGwHZHrRCvz/4ehO6omeUOVArOhBamEOJdg52O4BfsUluyYMivOg6IOiaUt/sU CMJyQcDOjVkD7pO9Ft0LBLaXFyDeeEOGiGR8F3us/QbUZedg3zOc0JuLwY6tLn6uLTHU7jew V+8/f1JhptaiEHwoznJgtHyWRwY8rwkjn4DMu4GZs45EuvD0IjKX53/BS97zj7++rfdqPojS /vX+/9Cy8uERJnmuYtit56syLdBmSqBX3lX81o9naZUGlWOctBAS27QxeP7w4RNYHoh5LjP+ /t/+LOEqFD+Iroo9t4ISoYxmqTslsRoA8S/jdVJ7+10YxoEQBh8ildmZuZ6XJrLU5PC4UdKQ lg5RzAI85OYEAne7HhdnM+QeJoHHIesE4e1hGyE1WDYRPa2aHltFJ0BBnvhIbGOfiSfOyeP4 dK3tECsrWPPU3WXkv41sHHOjOXdxjnQOuHPmpOv6riHn7XakVUlGsRXid6Jzx1bdtRp9ykoT qmrbmrPiMuJi/wRTnnVeLFktmv4lzBt4FRxfhDXVrX65XOzSjTWrVl2xAvL6uM5UTupRrDvf n7+/+vrpy/sf3z5j9og+CNKcby9CbijG+oJJX7DkWa+NmgC+nTlEW300teiO35NwjinQH5y7 JSln2fGFp1Tq8a3rAEQtDh7xUyY1xdkwadQ6T8+kxzV0qKvIWJIqLR+C5QCuwnL/+fz1qxCY ZVkQqUN+CcGsfM60VcXl85XbGm05WG9UqsTK5RY6KpSa5Y0MuHquZMNrvZ974PC/AHU2azaN KbbaKRxH7/WB5J+aG2aiIHm17QpQ0qTLiSsuVKhuKfKUZfjqqgBV9y6MMj+AkZYkZSRGe1/g 10YK5n/mnYYcRS+BlFrrPU+SVe3W4rjNh3PwwbMRbwxAJV+Ivfm15oKOz+YQPWRhnm8UpOZ5 5p1rSLcJWuxzYiAB2rPwBoCFKd3laNU3qzYfdCX148+vQjyyDjCqZV0TNJNqv0lpjq2Bowb7 7eHcB60XjABbRqI7TkUylldg9jnPpHv1RRZQhivnaQDo5m70Ex9qGuWufp9xBnBaWa2Nh/IX Wj9ym0bF03OI7pFSEt+Q7t2Dc0wwlPz5MG4SR5rwJI8dqjTsWmWgTbU22gXVqnDbTilh+0op +XnqjgVJ3odu62hy5JJngzBr9khdZfPYivTJHH9yu6/mKzqTWvD8vhrEQlTq12vB6iRhM+tH DS4VPKE1JlClUJ5gzqp/SxrjQQpVR/cluYJFl9kqSO2VvS4r1q0yf4Vw3YX7eByrI/G5mlfN JY5ZF8z05zZHDgxf//uTvmVon7+7of5uIYRI4hCklnAhf6NJTZCSRTszjqHNMe9lTU54azGG e1W2cNixRpcKpCZmDdnnZyv8rkhQ33WIk4tdBEVnzlPkzIDaeI5SNgablhbCDhlpf4wPVgsT 4Za0JsZ35rPSiTFhzEaESANJRuxlPOhIvdWL8UOxiXGOvAgis+O32Cz89t5qm8oNio6Cwmxr uOlhZZxowEpHhTLDzriSyy7D0Fj2HybdG2HdAp1urakHPJRE8a3FUcvSpKTi8M7F7PG4vFd2 J+DI4YJrBGmEzAF7y60YX2evs5yN6tCU4RnyCM9VYsMOUrzXpoToLQpC7C1pAkDPp2YoVYNu DxaLs52rhOA3PROEFbge11Q5H195OVzxndSLt5EOJbjKWLNcKxUP6lS+RdqG7B1z76nUguN4 21l/GiZIcwtZM8yCnZ8TYXWRvMgj0k+gyUys9XmJmIDjPcE8uE11q9kABVlKODGkdWMQY+2h 897s6WbIM89RcIJ4bhWWAsgxgRWg4XG6WStowl2SZWhvSu3vXoPSBAtJbKQjTUE9zbNHM1As bNObEGIc7sLkvk5VMkzZwWRESYYzsjjByiFYichlsw8Ak++3uxIw+3wbw9oi3m13t5SqA9QB 4DRWj+RyrEClIdrvwvWkGXkSxEhfjHy/S9AmuFAWBoHHK/xUvXK/3yceU7Eu4SnYdnrWe2fz kT8fV1NRX5H0M5G6XFNq+irCFGLgAhZw7EGKml+Ol/FiPJK5rBjhldku3HnoOUZvw8B2FmKz sF3GRqT+j3HDfwvjUYg0MWGGXYgYiH20C7Cq8eweehg7P8PTGIKV4pZzBiLzpZolaKosRl3l LHyapZ7eudePA+lAZVYcSLDj+YQ85xCuAEvjHAbA2uyAA2nD5LSeAOsCtSV4KB6PmI7bDBIi W8Ws6HJzVcFHIN5IYAS0nTe/D9vjiIo/pB4fdBg9auIaKDUnX2yVkqWo36WFH6p+c+lV04i1 sl1z6uQsmrDAGgBuDIMEC5tgIvLocFwne8iSOEvYmjE5JyC2L975O0ZPLRpmUQOOTRLmDKmI YEQByhASKUHJEVYCrQ+CXfNOkFN9SsMYmXI1XH/bS/PSzgk+zOAN3+1391ueZ9inb+hua2UQ c2cMowjNtam7iqDuxGeE3A3R5UOxMq9ptoVDN14DIQQSZMACIwoTDyNCe06ydlsbh0SkeINI 1vZkBsEtDdKtHCQk3CPjHhhpjmUNrD0uwxiQOMw8oq8BSsXs3y5dmsZ46dLUtgk3GAky1CVj jw5LVViPcLesA0McRNvtzWnqkY/mVKruEIVFS9W028aOmVghMKXGeQy0KSLaNG2GU/G50W5K DYKNSENNmyNNDM7aUCo2Ldo8w6h7NN09Pn/aPX6hZQCSKMYcoFmIHTafJQMpuLJRQEoJjF2E DrCOU3VrWLuRPl0g5WLOxWgagpVlW1NZILI8QFuqG2jrt8mcyn/Ikz0+wIcWN2Gav721sAVh WbMTR29gDD4uuAlG/HP7Q4p03KLnuhZG2kqsSVujvRK7/S5A21+wojDYHm8Ck8KN01apW0Z3 WYvXWPP2W5ukAhUxvpQxzlmGnviX71uxQGLSNw2jvMxDdMknJcvyCDuqL2ItTXNMlKs7EgXI Eg50+47K4MTR5r7AqRM6e6KfWurxuzlD2iH0HHQtyHZPS8hWcwjALkBaA+j4eBecJNzOFZyu 0+HygvQlUGmeIhLklYdRiJTpyvMoRst0y+Msi3HNIBOTh9vnDsDsfwUTbYnSEoFOTsnZWmUE oMnyhCMCvmKlHXIoEKw0yk4HH6dCWcs7p8O5w/W6WcgNNfl5WoFJz3RRvjrNnYPQPJ/LPYbY hmGKBP6Nvca9E4ZxwmvmcXg0gapWHF2rDvyUQKn6wwEOa+Tp0bIlkvcEns4Wq6x6PDLWxL6N tfTZ9+BjPWyVpqyUJvyxv4riVwN4Y6uwDE3gAQ640gvGZiHMT8A7jvIWuVEYO+1Vt6wKibAL 0h3lH5y9FGPNh1h10pMNVn1X1WsGKK3UCbcanPWXHx8/g6butz+fP6M67KB7qYYCbYjnNkCB wGlXyZk3LzkRBDTeBfcXsgQIls782LaZ1n/ZZacna+LMDoewmuNPZWhJNO5GOD2VPfY8xyCC Qc9YXVh+FVhh/QAHBKYPfvkVrcFpvvn1stosfF+e0nDXTQAFOCUp637js4ltU5WJraNcWdCW IKkA2QGp/GiN1tVCYPflM18MvtWHumQbn7JDQ9jJ9yEEd3nQFj/FWUD8AUdB4Mb7d9OE8n/+ /vIedOLXcTv0d+2hdJzGSMqkqrQ8FgoqoTzf7xLMYkuyWZzZF7kTNcKE0KGVI37SlLI/IjzK s2Ajah+ApLdScOSB28IvmFND7Rs3YIkWS/aB5yAjAeU+ycL2dvWX4D5Egd+pKkBaMMDFWkxW Xz5/3t2SATWJNpOVEExImZhpZPeo8v24olkvqJLm6KMB7Uh4BdYX7HFk2EuvrCcN47upMmUQ XdNiyRqiNMLcgwLzVKdCsJVNZDzqcDC2YjW1pDagiuRxPUVISy3Mby9kPJtmcBrRDFTr5RoE R+Nz2XFkn9ETh2Uam+dLfrZHKZvuKII7TGUGZ7WV3lta+ijuuMK8RL1laYRprQBTahTSti/N ygPDVSUEmnIwHGDEBCGmgdvtyEOwpmeZc4ePADyHrgWQY6/HC9sW62d6vsOuvzQ73wdYcfM9 +hY3c/fZqubwCr1KiadxulErwd5jVwmSOd3yuYle66EapfW950twoet+NNBDItYBX0toHUO7 Uu4LsKS5yqaSeM6D3CGpt1ybyCq6MpuV9HqXpfftRZ81Ub4x21mbmCflmeRMe0k/P+VimBrr JCnuSRA42yEp4nAhzmXR5J5jp2eZvFa8VZ4Vefvp/be/Pn7++P7Ht7++fHr//ZWKuFBP8VLW wUokYF46Jz9Rv56QVRipvO+2N68fpI3jRIi/jPpUWgDYDPF+h18nKHae5bhOnc6maXHLAzkk SdMS9Fw2sDQMEmt7VPoMqAGHYmWr3VTRvWvGpCGBfha5+ndOtUTFY78AoREJ+mhi5OFMj7Xe 9Ey11KYNaoRTbd8lmiNWdlOj8v8pu5Jmt3Ek/VccfZjbRIikuGgifIBISkKJmwlSiy8MT5W7 q2Lc9Srs7oiZfz+ZICliSZDPB1c9IT8sxJLIBBKZs02TLQTOFNZnujksEDB6qLVQlbz3wvPj gFzlRRmETga02J0bbbFji8jkT+XDObLWmxRZe51eKnZ2vBKSQl3LP9cVWxW/7mWyJz0jTcTA 5KGTCSMhCCEl3G3VdnCE45Q8tr6UIKzGniu6nAoCwdK9UpeSVkCiQzGIPtufGJ/5GlR1IuLS SObeejmGVztq8RbvMk9dECf+QPelddGxszKLFgC67OpH936i11wrLRg8HJFnIyqKaA4IQOfE 4d9CQ6FA9R5UtKMEgQWEOlgShXRrWBYGpA2cApH7FvXJtvqm9LrUU1YLfikdjuwRteQ1iO/t nNl9jzrCVwadVaCwho5+kdQkoRbsAtIlBCU+gVRG6IJH2i0kjdgXGBfFIVBlZ40U+bHH6PJx 443Xv1xCfKpoaQ36cBUM+xK1LSmQkec68gMxiinOu2AoNUCnhgn90EBDJdGeNmkzUNH6GBBC vkEMqVMKA6MK/CYpcZAslcSgJv5GP05atBUhQEPEyfoCQ0xycCzQMm08kILoqywF1oR7x0Mm FZQk4eaIAWiTbZbNp/hAmnwpGNCdXFwLnwfuSRtyBXPqP+eGEZxCvQHXcGhuBsphq2ugHIYh CupOHWUtdBkH3nQsYpAxXOzNcmxiYVsmmiM6Tmi4GrRoYB36oNnKPCqAq22d9UEq+6gXblXS 7V2esFQQqqeboPLmOHhYQMIvG0beuOsYocXGWEhhmcSRg8PM2uZWE4pz6AzbrMCgsF3kCKah ohKXP04DFdMHzwsKFIfQi4Kt9s862ztgvutIRIcBV1qfZYre56AdHKtbUj0ydpwB0nQ0gzZq aq7iD6SuaoHIDdxW1hSaHShRkXAdXmUWhH2xbPCQgh35kXa00KYrRzQYIHlI81S+FHO5PB1R BEIelpy/f/nrdzzZIBwHsTN13jIekJ475bbodmboGNJKwC0XPduJj160FItEcecdOpKpqeuV TH2lCT+GkgPXzITGhjE9a0Bffsz+LelrUoTJtwkl7QprAYi8OOHzNrpFw7UUk8NFvXGYfjqS pNMRfYKo97sWEcMWswIU5Y+e6oseAehPdIDBy0DLasu769Z76og0p24LkNh1RndCwpDhNR7o bENT14VNpr7mnMNmeClzhfryj/D1z1/ffvv6/cPb9w+/f/32F/yFrv60218sYvRGGu8cb15n iOCFF1HWfzMAQxl0oKkckoc5JzSyebqt+BpwtXi80W5LxX2zVv61hgXFyGLVXGqLW5YZ/nWX VKn8Np3Dk3ErnW6fHR5okVzV/S1nlO9I2RkH1bJ5ThnGQJ5NWx/zj3/7m0XGmIR9mw8grtQt kR2dzba5EE7A9E1UzehwRjoVq/sOp/xuR+YeDTjQsanoRYMxx/zQRl5y1nbHnHWjX/QbKxBm 46C1edl0r3qjvY1BVtXmn3p83wri3PPOePcxodonurpRP8ECSJ9pBbprz/p2XPmeCrqdDdfX mAZsxDnIt/J+JsOCymVZslA9ZJjSIl3MnlJBDKC2SKT2WWFmYE5mWJ7Z2bdraFPWDtl9uGQl d36NBBW3jDqGRvqnh9WQYw0Cs7t7Rt/6tAtVBDRTlEm5lrM/fvz17cv/fWi+/Pn1m7W8JRT2 Lig1bwUMnsMph4KFGTp83u1gepVhEw5VF4Thwc3gxlzHOh8uHHVZPz7Q9nU6uLt5O+/ew4ov tspe6dsRIHjZ6PYYCy0veMaGaxaEneeQ9BfwKecPXuEzK2/gpX9kDq1Wy/FEU6nTcxfv/H3G /YgFu60O4BiI54r/OySJRx/eKuiqqgv0w7yLD59TWnBf0L9kfCg6aE2Z70KXNrDAr7w6Z1w0 aDl3zXaHOHP4FlDGI2cZNr/orlDDJfD2Ee07j8wCbbpkXuJ4arhkqeobwyxy/jmC6C3oEsMI oRtqdtqF8T0Pac1vyVAXwNUeQ5Fm+GfVw7g7DNOWLOh4rsvTy1B3eCTriEOpZBAZ/oPZ1Plh Eg9h4AihtWSB/zJRVzwdbreHtzvtgn21OYYOZXwz1zPjsADbMoo9h709iU787RbV1bEe2iNM w8zx+EZZvmNg+kFEmRdl70fnwYVtrU8FHQW/7B4Oc2pHhvInGpMkbAfSjQA9PT+RBwF0Nsas bWcC5fxaD/vgfjt51K2FggSFoRmKTzDRWk889PjVFkzsgvgWZ3fH4QiB3wedV+TbeI7xh2EV ii6OfxK9OSx19RxY+tj7e3aljTsXcNf2xXPauOLh/ulx3lqpNy5ArKkfOL0P/mGLNwGzACHu PDyaZheGqR8b03ASoI2tWR24Y8sz9YZJ2Rxnira7L1f0x+9//PYPW45PswpfsbrFlPQigwQV UmFZ2QnnzQCSKsupt6HQAc8FllB0h8hzDzdu3wPGFHbvcyUGnLzwBt+NZM0DD6xBlTsm4e4W DCf35lLdi5cy7VghqDs1XRXso53Z26ixDI1IIv1VpEHcu3kA6HXwjycus6QRww87nz7Tmum+ I+7tSEfZZpoVTlR34RU6hEqjALrb2zl8gUloLS78yMZb8djhCJgAvrtE2uqBANInuDYwpj1B SSBseKdmvyIeAEJUUQgTxHFfNBfTZJ4vDCc9uvZQMfS4+YA/HlGwfx8wdt2rz7o9y25xuLJ6 5LouL1mThPtolcvYLEIvKe8qduPuYyXWps3ZrZmXD3GivLbLRvK2Ba3hU172tpLm+X2wskAe K4LK7Vg/bjzL3ZLZGKp2dXcEsS2vOqm3Dp963l7FzFxP37/88+uH//733//+9fuHzAxvdToO aZnhe++FcUBaVXf89FSTlL+ngy15zKXlyrJU+40BdkAlE6+TTI2awr8TL4oWGLBFSOvmCXUw iwDq1zk/FlzPIp6CLgsJZFlIoMs61W3Oz9WQVxlnlfFB3WVJf40RUuB/I4EcRUBANR3wOBtk fEXdCL1T8xNIvXk2qJfvCL6dmeZ1GVvB0muBEb611BK2pen4Ty8aFWX8/G6Ml2xPl9/nMAfE wxAcD7ki6G9pSl+rC37DCJ1q3HqnXdfowvQJ4r3vUuYAAGuXrovBHoWxj40CeSk6+ngdiNB7 jotaJOaCFqZwaewdjAzPkx0yGJBqkKVcsUBwNL3MMA/HqmSYGeOrptgzLnOoBWEZAhGY14Sh W9Xym1k9Jq1VLukuG6SZTs9THuvB8nDR5AlouvQeijPbcnupNUUe1jpnU/f0HEZcI9VFErRY iRR2o71mII3raw9+D8HO/F6ZSr7gxllpzQV8oppxZHp4MJyeaMV7Aj6mgGP8iCczzs+r8hrY IncO8PXZUvc/QAmy08NoICaBRpPm9HOtGbEyn251ndU1pWkisQOxNNB5GsiQeaVPLNZeDV6k 50lZW5o74JQGWywDqeKmv/PUiGkvOkfIFijHFTkTB1s3xZYpIu1POhMwjnhxnRxB4nh0+5A8 FpajLe331GwygKq8vKLCqCorKkeFtS71zkAf677BnKY0+VrsrD8eUqi050NklU/Yjm7GkjBP ODFRAGMkTf5kf8XeqNZMYiIp7Mhd6/jl1//59sc/fv/Xh//4UKTZbFxpBfnDs7K0YEJM0VvV 5iBtJQrVi6s5C1gQ1y7zQ+rafoE095LO67Q1XCDSoxWdW15e3wuH76oFJ9iFke+yFohpx6vU b/r31khJonvZMYik87EFozypIUooyiAKduvtlpgD1bgCNJCQ/KIGI0eqMRqUnrLeSSgfNNuM WhTjiebShBt0XFw0FO2YRZ5uoafU1KaPtHI8j1xKN8d9Wjgby0OxEcB36wp3kJoLLWHihdIs VqZvf/54+waC5KTHjQKlvfzQziA1wzKXGZGY9WX53EiG/xd9WYmPyY6mt/VdfPTDF0sBfg6i ywkkbrtkgjh57cTg0iVrn+vYtu6sF+N0mZPA37FrXlsBc+dQqOsd+mJn9Vl7mYC/B3kVAGpB RW3kCkLKyI7cadF3vnkCM7XNsk+ZyxZ1Xykqo/w51EJYlps6Be+CgaVyMp6zVmCVmcGAMalJ SythyIvMTuR5eggTPT0rWV6dcbu3yrncs7zRk0T+aWH8SnrL7iWI3Hri61K+Pp3QckSn/sLU MLpzysCrpu8GLZaNGDsLjVb0xJI/YGbV6lPL+VNdibDD9fC1BJHo2UtLJGbPiuE7UBCR6tYo B2/sMTi2+Bj4WreNBwRDXWSweRndhLLtcDJKuuHbPpFPgq82eTQqrzraEYdsqkNRkUWMHu2t yTCIM6xYa9R7tJJozXbI6YAsx1HJK+M0HlbmqYdn/xjuYgacXiCpasKvSjNLH5/L0yoD0rlD Bx6ng3YULVn8JftP9u/f/nhTAyW80rRJI9l5aywQTM1EM4wRmW0arpW6sr4OSbrFzJyaPzpH NbDmpPkWSJyf84/RXqWPlnLVpTDqGdOxgWOiTh3DUWpdhDbG8mGYsxMR0TPPcYn0QoiH75o9 SE8ZZ5+oyiVh5BYr2Xvh+X5hfc0QnbjZdZh84Semy7RIOaaZeXBj5MMTxsgurqkzqumQfHH4 L5oQHUwFR1TRGXJjLWcPs3j8rDt3mOVNnC4lY+7KtVSnxuKCWTQ7J1nZKBA2M3ub0tVNDVvr 06ZMD+F1rlCP8ZGB5vwIBaO7dSNRbV7VnPSUV80OIgyPHnPukl/bWvLgzj3Pj2kZBVIXFMP9 wkVXkF6rR378CuILaItjqSF+S4sBibf0g+Q2H/7+9h1Uwa9ff/z6BUSktOl/zEE307d//vPt TwX69he+tftBZPkv9bRz/uCTQOMh8iBShQhGDhuSyk9ulvuqoQfR2eFAUK2FvBDUEE3Gza1q IuVrbeTpidNHNjOMlw/ZTDMQ/PwMe20sjH3GR5+5ke/hG8/1vuGla7OW1NENhehwQRWwExps DSm8MRfwmGi4nLCKtDOxDvRoYIfcJ4PhrcAcPnDWcqy27vrECJBustkNLxJrnKTr0Uk6F1cX Ka2cudJTQc22iVgWAx0jysYVpDc+qkfQSzjXA7nQOJAJcKO27+9XcwE/1305Kzx7gpbojsrV IyXTvajoVOl87IS3elnxRCuE8wBSdb6xPmTW47NL5aufaL+TtfxUntB7b54UTyTEXeaKfSvX Zp59uNEHMxiUhgO+DMMr7J9sW8V6fOLzE90gs6YPfxf7j5/NlrHY94KfzZWLJPCin81V1XK6 vq/bgQlAl/tJtNHjiJNdVvghMKNyDyP73oZpeeWQBWHM3v1ZIK1DCw/JezMAh5KzKArGyg7+ u1uKWeVQHX6+nWben6xWtvgdq7LsrsOxS2/CLQYjTNSn12Zny0OkK5TA/wA5P3yRm7P6gGjV gQqZy27PGM90cx+fYCPXxQ1OhlJ5TxYp0KxM90d3as7MFB4/P4Yuc5wejQOERir4d7OcWMrN gLj4Xvj8Id7cMhhsLV6sGyvqtMhze8pXgLErYIwG8rxkuNCmZBZus9br3nM8QFUg+5B0zLsA wnBP7JHXfaSH11MpdNyCFyAMkojOGobrrSnScLwvtPIeMx+vEle/9tgNInWrOVLHE0FYON6A 6pj1qkaMw7u9hqEttHQMbeewYPZ+sdrlEqHFYdAJptcWnbxdMjmakhRvddPe4XFeAei+QFSK IzCuBrFWJwF6PBK6b4Cw0jeB5wrZoGD2pGduFXCgiw+DYqv4UchZxYwSzUoTMs0F4ZyKVz8j b6Qal4vY25jcAPH37oOxEYJi0ybEtzideXzTldGOmNygFaTzHRaxo1f10F6D3cbiGkVXh+8v DYRCyDYqdDxc0UAO61QNc3CEwdPbFAeb28QIdMXz0Jq1gRFlcgA5+J5mk3Xpu+EZP/OOkeGm JnSTll6UkNswkuLksPmhEndwG6uouCR6Fy7YRW7HVioO2m5ZXdmw0PP/157HE8HFiGASB3Ss gRlQRH5ArA9ID/YxIwioaJBVgfDrUa5cVABZldQWqSLFuSvMB2AmhJ9Llgnznk6huLpmMktn 8F9+4s5DyxHangblFIGobDqKs2sRpR84AgGrmGjn9nir4FBlW2tox7To82q6Fsnnlc4HwahD ayb8MPSpL5Ikh9G9inHZ22uYjU0aMKbvQhITOyKXahiHBbeCAdl0o82wY+49R4jBGXNihySm fPu+EMUt8HeMp6p1G0F0zdwXJPBcgWgspP/Yb7CYBWtdqyjkLH14+7UF2YmA+X5s3SKNtFFu Wm8zglyxnyZMnzEv2BAy7mUSkt5HVACtL0jKmuSJAD2msUKJHSbEKsRhm6pCXFEqVcgaL0DA ntwTkUL6hdIAxNzE9Jhk/khJ1nQBACQ7Qlcc03VPnQrNiAasUTaH/7Ahk0jIOiNDSLwxEw5x 4mpjss74P8tTkkPU+OutQAErdjgVe2G6KHA8GdYgG8JqF0UbvYangKHjSZmKSRxPmjTMxodP B7xr7KZhGI+RaYai+umOUey47aeG42gNM27155Y1FwuowB66ICTVoqLJNwwDpLEuYaY7mlnw zDabu2jBfXm2hD7v2rw6dxeN2rK72qoei7Tbj8VMV9vzmZj46+uvf3z5JttAnIxhDrbHx+Pk p0lymvby9bajQpa2/UP/FJk0nE5Gqmnh+krk9EGipAvyuYok9WgUYnRiXlx5ZaahJ5HTyaz6 yM9HHDY61A4i0HVSS5vdj2QOvyhLD0kdI8WataZ17/LUi+SSpawo3HU2bZ3xa/6kT2tlBdIT latRje95vtUm6MiO3/JBHHcuLiBxT2kO4SgbJum5rlojwNCSavS0kjNH307W+OQF+epqJOWp GvNlTKuNhM/QT2ah57w8cgeXkPRTSz8MkMSibnntnJCXuuhy5aZ1/E182Y3fWJFRN8qyli5K glb/FvgSuQbNkq5P2iwGaX2Kzz0p6RCpd1bAsrBblt+lnwd3HzxHXzuOYnnKslxvO++sVf8L O7b00QlSuzuvLs6xv+aV4MAga2OZF6kRBkwm5plZd5FX9Y0yo5VE6DHkhlamKX3IfnE2+4WB Hw115f0C6DMCk9u+PBZ5wzKfXiWIOR/2O42pYuL9kueFsHitfA9UwlS1ur6EcW8db6xG+lMG 9XF0UJuPC9qojqdtLepTZyTjhtjmTyO1LzpOzuaKjEAyUlrVgA+T6lZfbMgcWYWhpmCVKnur kmh1U5NX0ElVZ6Z2rHhWxqbWALMv0oxM1F7bqunEszmV7CwP5q0we6cBfih9U5Dx58bNAV0d mflafCBEvmiS1DpNWWfmgZ0Letc5SSZHIY4i0SmGJjyjkwwn85dR2TH2ntWGLmfUDeBEg2kP gk5udRM0rCmcPLotud7jZ3RJw4T+UO+V6G72+BpqmNeY2oCStd0v9RNboeg/SiqxJcD2S0uX klg3wghdr1IvwA6NzbC7tL3oXubQr9LU9DXJp0eJc2gcDyclwj99zsl3hePuYu3Pd87LujP6 6sFhAepJWOrUda8K5zT3cHx+ZiB1mnxpjAQ5XPojmT6+A5x+WZJp0biFrBIEKd+Mdj1fxxMi t5S5e3GkdYHRTNfaqRpSyJ/A42uCV6Vm2S+XkXqFr/Lx+txQIjS/jVq2l224WoHSnPqScv21 /NLdSLd8CUhj59kYa9Fq0OoYX9EAr3d8eF80HP0Gmtngz8oVRk6adbe4LzMxXFSO26uhBPsx LqCewKoK9oc0H6r8Pj0SeblpKP/48evXb9++/Pn17d8/5ABMlqlqP2Mhc6BMfGvFBf2+HXEn qINXvJMcmzsMx2SB2nMNxyfX3dnsJUiSCkSfdsVaQxCXcSEjjOYPYBUVxirtKTcbM/wkSmIo hRzLc47BJ44O4+/R6v7lgXKMlvrR18syYsEvy+ntx7/wYdW/vr99+4YPSM2IQ3JyRPFjt7OG fnjgtB1TtcpkenY8p4yS4l4II6qZmo722bkgIwAtsMUEVSHlS5vM1BZ9c8AoDF1HULsO56gA lZXKS7RVpp8EdQWnNsTRzvrR+97u0lD9x0XjedEDSe65DpMG7YcNjMlUiNLrV8NS2quUBhLC OWuJD9TK6CeAixV5gW+PlCgSz1tJhs6pzYpGYupe723Cogh9hrlbg0X/P2lP15w6ruT7/gqq 9mWmamcP2BjM7pO/AA8WdiwbyHlxcRKfHGqSkCWk9ub++lVLsi3ZLTK39mHmhO5WW99qtfpD zw7aQKnu6NKAIQQzjzOCrivhiD0Kno/v78MEm3zJBoMO455bBqMwwO9Rgy7uG0JahdWWyQj/ NeLdUqQ5BGd4rN/Y+fM+AieAgMajHx/XkZ9sYDuuaDh6OX42rgLH5/fz6Ec9eq3rx/rxv9lX ao3Tun5+49btL+dLPTq9/jzrbZJ0g/ERYKPrmUoDKintXiIBfIvLeouoZewV3tLzceSSyaJC mEIrFdPQFPdQJWN/e6bdt6GhYZirmej7OMfBcX+WJKPrtMCxXuKVoWeqfrqNTMpFlWzj5cTI Q6qlKtaLgWm1N7RsX65Kf2Y548Fy17frdinEL8en0+sTFqian0xh4KKP2RwJ92IxHdRCcWaO Ns8PrHBLMQsezpIv4DAP+vUXiPTGsc4pVl64ikwzgVOEkEgqF47UvLXZ8/HKVs3LaPX8UY+S 42d9aVYc4ZsF8diKeqyVDLx8O4hTNry6NT/nvw9MjWMoCyG3Bu0SQfWPj0/19Vv4cXz+4wKe 1VCJ0aX+n4/TpRYSmSBphNbRlW8P9evxx3P9OBDT4ENMRouzNQSLv1FFrYsQHqizSFdYZjQe FpQusLcKFzk4FJOY0ggu2qqLrf4B3pI0VE29+NRax+xaEQ3WUgNnNz9MY6iR6DmsNdRAgG4x hBIDJiYHA6Z7y+hJF3M1aqICHB68LQKSXGMj1hCIdcFJjAuooW2HH90w+ExDz8yS0rnVqzko MfTALx20CTBg2tMEUddLGAvxNHabgxfngaclGleR+cae6EEFFKx4cLnNPljb0wnKe7+Oi2gd ef2jQ2DBRkyEIoqG18eGd8akyAOOkscCcVF0RLJosAwlblmEMes5021SUu1iqsblVzBxxh18 EQROH7G5Z2xig6yKgQzfVNedWAYTZp3KQYPQqHOJh0kytGmPw8sShcMjVeZtqww5/DWKr+q9 SVCnSZUi9SHkaYD3HwmKqmT9Y6gFj590mz9J6dywcAUOkh94uXEEgcadGsofSmO5rbcj3kBF IpFZYtlj00EqadIinrmOa+BwF3jlF/Phju10oKhBq0ezIHMPfaFQ4rzlUI7uUKy7whDVaGpb V5TnHrh+J5oTtkpyT/zUtHsW5vthu0X4UQ4hOr4iPLAdMjXdXprNbD/Qe8lRyPpBZFQk2cZb o0CmcAhSnPsB1JcVwWfQPqZrP90ah4KWE6Pw2kyBwrRyyiycu8vx3GC0ru7k/ZtTe1jqSjT0 1IxIPLP01jGQNdNBXlgW5eAg2NFopcOSaJUW8qFQV1fd0FY0R0lwPw9muE5ckMFLE/5+zOWH kD8XGPqbHzrw6N1rGFhIyOjXap05vCLLuFp6tIDkTGggQ94PMWX/7FbDjbhBgKRhrDaamRwQ TBjdBtEu9vN+clve2nTv5UwGxYxweemoL0ZGa8pEMK6ZWMYHSI7TF+bgKW3ZO4fuGV1v4KPv vEMPg5kL6jP2r+VMDqab4prGAfxhO7qZmoqbzgyGary74u2mYoMV5ZUhz5UQcr2UCgOFdjFk vz7fTw/HZ3HHwldDtlaeU7dpxoGHIIp3eheAMpxnTOzAhbfepYDUXoQaoBCR/fsbcWsaGdiW 3nHK24Oh6lqNuITd71Ipd9/SragkEDM4Gqj/dQqT2lVSQadU3KLKQrCNomBbkkqE+aKMrhui +nJ6+1VfWEs7tXP/JtkoN813qVUOSH3EGi1f70ni4Fl6AniAkl2f+QBtG0/XbTaI3tXAGVOu DzVzhiqaRU0/DG602iOh49izQcvZ+WdZ88FilWCI0mJgyCncnmC1Sjc9iTRaWWPTxBNequan Fh58bqDJVmc+OiO0Iyf2eSgkGhe9Da1sE9Grs6cqvaEaRPy5xHVUUs3xdqkfzi9v5/f6cfRw fv15evq4HNEnKcPTLR8kWaPuMVx0FKsUbonKe7jADQh5R1fbwHwmCu6GOLi8O8ptAPLTDRIC sTsbJa+5GkIYMXOBaFxD9ViPyVdK7iAUcYP4aN/g4wWkMuQiEwTcTOYGfvB6q2FDf4XHGuI7 jbdHG6rM6q9nlHKE3GeoRzX/FMRHFGko+zMaUFQ62sOLGMKBEGWnyPY5BEaLMGAbiLYrWPlJ qkbja0FNlDu3wVAwDC499RIGxFI+EXpOEnyj4Teg/PrNEQo3Sj4FRMO1/grXAg0aww6vB5NR yiXFkmCIdFl5uUf1y6OO5nY36BTR6QpDDiaNKtwHhK7xA6kjBNtAJjDebOoS/tWTwHZIEid+ 5JX4+gSyvU+xY48PZ7wkjE2/t3J2sVpXAdXhgT+fjHUQBOSmoTb5OLj0e0HBAVre6oySNSae semP3bz41++QmbKmd6aWybQkmvIVEKTY4P14iLYGeV8ZVeLhO4gyLcnMwez4SUQou7Cqi09C 2nUhVlX9cr580uvp4a+hsNsWKbdcY8AuYKUa5JrQLE8Hi5y2kMEXvl63zRf5VCGalNni/uRv OtvKdjHVSUuWOwvlzgrWI9KkT0K4cQQPOq1+pYNWAyNMjIifEUGaGLIhcUo/h0vTFq6v6z1c O7araOijAFGGB0PAy2PBmznC29pjyzFkmxMUhoyqArm3xhP8Li3qDTHoUDfXDq2rtjg8IbZj UEh0eMypqcHOphbGdLYw+N1zAiaITnspdVT0Pvey3thngbdw7OGnJNxkzMRp9EDUooaZvZhO hxVnYEOCdol3xuZqM6xzOCCWWi22bwI3wN8YYMDPzCORuVqs/Qboqk8/cilE7AZLvDjButgZ TlwJv9nFQDOzh2VFAHXwvS1QG1NOJMK992uzJz1Im568B4fIJmOrB2zi2k2tcb8DksJ2FnYP OIjnzqEkmNhz1x40qwg8SDhvalCRBM5icug3CfKqLtQLa7vCnH8MPpEWJjsFwSvaLq2JT/Bj U3QBtSfLxJ4sbixESdPzR+1tcdwG5Mfz6fWv3ya/c3E3X/kjGWj94xXyVSNGnKPfOmvb39UL lRg0UPtgGmKOpfeQQmfQJyQ5BFmCS2ENAZslZjzEnLuxK8XB3PVv9FYRs9Eq5QI3Dn5GZ5Mx X0dtPxaX09PT8KyQ1n7DM60xA+SRkW/UR5Kl7Lhap7iYpxGGMcV19xoVKW50cUPUZrn+mhTN wYOTBreOwIbIYxfcnSnBi0bZ37IMvSINThGjydPbFYwf3kdXMYLdjN/W15+n5ytkaOcXvdFv MNDX44XdA4fTvR3Q3NtSSGT2N7rCY2N/Q1po6DLP5H+kkbEjN4zwtHE9duBXiUu7+igYPTzh DZrSW1l4Yvb/LZPB0SQtEYSlgRihMROcg1w1Rueozjy65QdwhFNeBJWWQQwAbE+fztyJO8QM 5EsArgN2XzD4DwKe4Yp0bfp6714LoO2O8ETrfI4wwOjUZPzT1E5Ayg6xJXzAoMtpSSBCvKEC HN8YvyPwqoyjCsLqG78Q5ruBYr41l4f6D+TgppQiCmMYDOH5vvM9ona/ugIXpd+xmBIdwQFl GlJIsIOxFJgqYAuyzDHPVJVwPkVZz3RNbINZ3xPXmWEPzg0FEwdmC1U6URDuYjw3IBauCYGU yDfu2MWql1MnsOeYONlQxDSZWGPkYwJhoa2WOCwETkNyYATOkGsWLGUoCgwxnqFTguPsm73M SWYmvi6CINNJ4WLDwuHVPiywqvh3trW5UY9in0zHuqKmRTGJwXFn2L1CI1lMTMXd8djGwqi1 ox04heOiXQio2eTWsqLsBrgYe8MOWRII8IYyZQvREPpAIXHcm3VmPCxkokSE3aPR1ZzvGAaP 7aCSoNfZjsB1x8ikoA7BPklDtn+4g62RZrF5a0RCcQL98fUR2VKRLcs2GS8pM9uaWNjtROup RWAhGwbHVOu9uMLqBq03d/uApIPDU26RliF8kULSS56LEDjIqMD26zpIGGyd4DbnmbswFJ1b huAlKs30b9C4f4fP7UENqTU1vKK3JOwebTC3aOdrsZnMCw9TE3XbnFu4M2z7c9nFGYc7CwRO ycyaIlPMv5tq9/V26mVOoMeRbTAwJzHlb4Pvp2nrBAJ7MkY3qO/32zuSDdbt+fUPuIF8sQQR vfyAZlmwv77aA0G9cDCEgmp7ZW7r+VPaGCm0fn1nF/Obi1Lx3oS7pNoVIfGkh96AO0P55VLx z5NF6P024BYFKh+653D89UxywnACVZF0F8lszLfIaJQsQQrFJWFJxO6kfSfUJru23qKmQV55 QEyF1uF0OnfxodtQNqj4GRMTxpAGcWy0jVoXk9nGxqQVaQ4pcl0rz3U89XVjKznugfOUj4XT fUAghNK6IuwSZnrhBfsn7nCfQGauL0kwZYeC58r3Xq27n5JQe8803Bx3S1TFCOk+m2xOKhtI LL4qcaMhKKOqfcVv0JuVA2DP3a+DmhOTSxof0iyploYSzpMSITwJMbU8zDCrjR23MpKV7og5 dGt4eBdYiDBBpXsx0gzpkvtwOb+ff15H68+3+vLHbvT0Ub9fkehLvVym0pu/lxpNQrs+aZff Vx/itTnUr8OsiW2rIGSU5Iy2GvBwn412RbDG5qtgEGwiNZ8eA6o+IUAjcgi3GO0DkL9ctBJs 2Q0fYf+ByVQT30rnvtoWWmI7Dsu9Lc93V/GkXf2PSjTxBBr5Kt3HaZH4QK1zZlMY2HbN1hhn OwieRNFIXCih5GNod8YWaEB67QU/vuqQaBk1ObzJ+yRnCDL4XR1WeXTvo+8HtPBWIrd7t2+l ENHJcKYm7mRh4SpGhkxi34CijjUeivkxW8zvV+nv1h7BHOU9PNTP9eX8Ul8bKUK2tIcR1K/H 5/MT+Fs9np5O1+MzKBUZu0HZW3Qqpwb94/TH4+lSP8Cx1+fZnIFhMR+Emda/9xU3we74dnxg ZK8PtbEh7SfnEzUUK/s9n87U6fA1MyGo8NqwfwSafr5ef9XvJ63PjDTCf7a+/u/58hdv6ec/ 68t/jOKXt/qRfzgw9Jez6Ae3l5/6m8zkBLmyCcNK1penzxGfDDCN4kD/VjR3+3FA23lkYiA0 i/X7+RmW05eT6ivKNs4FMtu7qopk1Y4h3qFYptUg1pqcs4+X8+lRn+gCNGThp6aohUkRVauQ zK0ppklp0uJ1HmQNYl8U9zxtZ5EW4CjCRC2q5Ezs8BAGUaK73J4rtn9mK89PU91kchuzw4Jm hth1hB/RTcJHXKjd0HnvAiHdON//qq+af22TlVbHKHt4nFTeIWY1jZe4+LGMoyTkhr6GN4K7 xCBJ0ozE7JpBY3tmCGRMliGkP5taE06MnR7urMsE1oUNaRYBpJvaq4GI2I/KJ6kWFchL4khk ztsTfPdfl94+io1o8WIMrCmItHvw1/AMNokdbbEutyH4oSYGK6sDMX4xi7w7I/IQeykZ1Lbt kihfh3r7IcVq4/xjKCJ7sSvDfSJWgxR3DZqyCZF4WZHilk0cj32yu5cFoe8ZUFGSsB3Dj9Mb +NzHz2pZOHVxX3KOhtHxdNPcFp6gvlTL8s+4oKVssrJDSHgBTp/aKl9lsCEwoaiolngEvkx4 ZCpCclYpLlrd5MyMM6EIJpPx2DxvfQKyCyYc8Ys/hTwPmfYxeJTfZB7PnnlrMfBHOJpZw6Tq OFmG2y0LKh5jcmd6+hQ07P/j8diqdgZbE5lXLtomqRbgVcB3foHfDWiZQ1LXyq78sigMF4iO iAdGrNIsj1Z45MaGlN3AG5ZqbQg17zJZIDKocmsvNFi0iBU3mIQN/E41tORbo7Rn7KCNgaNf VPlyEyfJELUWM6IH7W8PwD0gmeF1eYXsDZ0GwONxMbtmdP18T4uIzGeDyddWJmNnfY6UBMU5 N+Fj481ItkVs2p9JcmgPFOM0ivVFIYA5qkyQ1kQQk45BtlFQDEtmYIlqClMhSUoIVxUbOlR+ JCiNoXoUCnPjoB6wIlUFTM7uRG0Z2sekdDDbWkQGfgKavq9FFSbrI1A9VNEN3MbnIRu/MAwh bLf2tunNkVx7u6gK1Hyd7AdceZM03ZRKgxpCSI3NhDLlNiqseXpMWlinW1YvmA0SgpJP0fcF hWjwBqvgaOzYhixAPSpDXHOdaoI/EOhEU8wGWSeZj9G+CMIgmo9nRtzCcgztDCikz64CbLNQ P22RjE4m6AeEQSDGfBfgrysKyTI+sPlm1L4BSbIiVbDC5Y31nmbxFiylB+J48Hx++GtEzx8X dskb6OEZY5oHVexa6vMVg0a7og/lPyvdQJtR+knYUnZrA6yqIfBHlcXFbNpTWzSXaKxqDWcw APVTbWK3IjhZl9jGEmiqKYjTlHsVYUzw5Ss+YHLqjNnglOwQVMR6AerMe8R1By64p4cRR46y 41PNLbJGdJjY8StSZSPlX+LGOQbbmoZChiL0KC3YvleusMhL6VKQD88EURNk2xKa0qaUvLC/ nK/12+X8gL49RRANtG/oo9zhB4UF07eX9yeUX0YoEybYEqlW3AM3NwhvglCor/FPa59QzvmU 3Yz6iejFazdrxG/08/1av4zS11Hw6/T2++gdLEh/stELe4q0l+fzEwNDvm21HY2iAEGLcoxh /WgsNsRytH85Hx8fzi+mciheKJIO2bcuC/jd+RLfmZh8RSosD/+THEwMBjiOvPs4PrOqGeuO 4tXxCnohIIRW/vR8ev3HgGenWYCkpbugROcGVriN+/q3ZoGyO3HVwDKPML+e6ACCWbOUon9c H86vTVS+geOKIK68MKggmIW6bCVqST12ruPqDEliNC2V+PZCY08XuPWDJGQyxGTqzPFkdR2N bTuYnNERzOcz1aq9Q0jLsD7PrNg6EzQFjiTIC3cxt70BS0ocR32/l+DGi75DELZn5Yr7fawi Y3g94w7jGKwKNK97BdHzbjaQiEvWV4Tg4JNuwU8Ke8sBwg1ozIBcr6S0gQURtmmCxl/8iXrW K8V1nk1NKIQia0ksnTFtwuoam8YoZFkjSVf7wX3c9HbRnPnhIbGnjjFLG8fPzVncfOJNUY0N u0qwudjXlajQfiKw0LMMCzT0bEP2KTYx8nCMr0aBw/MLcRyaR0uxrxC1tLVXts2Bhphp3eYQ /LmZjPUEwSSwLYMrFiHefOqY+x3wppxFDOdODU5NDLdwDDcLgcOMFcghYKOoifoMNLPQDYoW G3aB0rPoMZDv9Z8K/j/PY+30m48Xkxy/DTCkZfDNZajZeFbFQqnj5V6SRLhBNKNcGPxpvDAG JTscK/gdI5iwK9Ckj28n7QKm+irzQn2aJ1vLyDLa7qIkzSDUahEFhcGtcX0wZWNLisCazm/g DGZrHLfATyw4zmyDFRpcl2eooR8JMntqWerZsa2+T1y38tToF1uvnGu2Y1yA3XkiiEDP647j +PNEjPd5R7Dr9XqHYQiD6d4W7GZd49jQkAsYJA2F05rhXYxAriwDi4J/fuxObqAp20WwZSfN jVmXq/3HoDOANtNMgnfL2WRc9fpAinaHQe3+1bfo5eX8eh1Fr4/aWoWDKI9o4PUDSOrslcLy OvD2zATEfl4uEkwtB+fTFRAlftUvPPKOMJ/T948i8djxu5ZbOr7MOU30Pb1F5JNoZjidgoC6 huUYe3dGBSINQjZuZjRk+cljkM5WmSkrdkZt7AzbfXcXB+3xvd9FwuTw9NiYHMJLcMBuEufX f/t35CQU0oxcjji6k4C6bAkof1VCIrR90hDCgLhN0qwp19apu2QMkCpDWvQY4jgZ/UdaHIi5 zqb9UcxQ3MDBGc8UdxH223Y1gwdnOtVihDKIs7ANUy50ZouZ8fAPs7Soeg5QHZJOTQldycyy UVt4tk07E+3KABDX4LzMtu7pvL/4ui2KVcxxDGeM2HcGVW8NN250dWt/8/jx8vIpb5fqyA9w HLmEcMf168NnawfyT3BVDUP6LUuSRucgFGdcnXS8ni/fwtP79XL68QF2L+o3btIJ0/lfx/f6 j4SR1Y+j5Hx+G/3GvvP76Gdbj3elHirvf7VkU+6LFmqT+Onzcn5/OL/VrOObzbDdwVYT1XVc /O4L4cuDRy0m1hhmprLoV/d5WtmGACpZaY+dQZ5wfTEKBmDFMFinHAWPQ310sbItaf/dm1PD los9rj4+X38pR0MDvVxH+fFaj8j59XTtnxrLaGoyzYeL+3hicOSWSAud/ehHFaRaT1HLj5fT 4+n6qYxlV0Vi/V9rT7LcOK7k/X2Fo04zEdXdkiyp5EMdIJKSUOJmLpLsC0Nlq1yK9hZe3que r59MgBCxJOiaiDl0u5SZBEEsicxELudDeouGq4qUyFYhyqtmdawwGPlc2o3yQZgayhP5uapK pxDQCVV7MCX/MhiQygUgRsYEO6MgGQXs0DeMT3847F/fXw4PBxAq3mFUjVGaJ3zYU65+scvK GXTEs07XyW5qRC/wdNPwIBmPpu4zBhGs/ymx/k21vmriMpmG5Y5cLT0fKIPQj3c/39xdLm54 Waw724TfYCLPh0PzcKp3w4GndjiLzwekbgwIrJZtOpyE5cW5ZzsIpK9eMSu/nI88ctN8NfQ5 niFqRnUuSKC5mX7TlJzLpMfd73OzMjZApp4q9oiaevToZT5i+WBAHbQSBWM0GBi+PCehpIxH F4Ohp1yxQURmfhGooXkpp1ssYn/FrpYkLzy3O99KNhwNPSFLeTGwUp2cFMdiYob4xBtYPGNP MRdgkMBZ/dwTkbTFJs3Y8JxkGVlewQLUJj6HDxkNWpjGcoZD28tTQ409po7zczNGE7ZtveGl TzwKyvPxkLqOFZgv2nJUs13BlBrhrAJghnYi6MsXem4AN56QsaJ1ORnORpr79iZIYxx9vWkJ O6c/ZxMlQr3sQXpcBDcxqNI06homDOaHrh1nsjUZ07C/ezy8SfMReRSuPdXMBUKLb2PrwcWF yQdbe2TClqnnEAAU8E5NbtL2Ej4WVVkSYTFB006YJMH5ZDSmGFXL/MU7afFHdcdGn9y/kmAy G597EbZQp9BFgtFz7qmkYjqogZZT8H7/dny+P/yytHShw9m+hqo1/Zn2zL65Pz46E0nok2kQ 85QcV41Kms2bIqucerzaKUq8UnRGJXA5+wNdoB9vQR151Aq4cBHyA+8v6ryizfsijQOl99JN t6f2I0iBIjJ4/3j3fg//fn56PQr3e31pn3bDx+SG/P/89AZywpE09E9GHg4SlrBVvfa9ydgT nIxqou8oQ5zFlDqGlcdeGdnzHeQ3wtia0l6c5BdD53DxtCyflirdy+EVRSySuczzwXSQUJmZ 50lupN6Vv51bjXgFXJJWk8Ic5LIPLiFE3nXTr9QzVzzIh37NJI+HQ+e6oUMCj9M4ZVJOpiaj lBD/dQWgz6n49Jajqa8goKahppqMzazjq3w0mNIvvc4ZCHh0nIszp53Q/IjhDuRms5Ht6nj6 dXxABQS34e3xVcawEGtFCGcT+2BTi5OH6ALJq6jZePbT3FvEJOcpnQOrWGCgjb2ZFNsvFh4l ttxd0AsPEBNTPsBG6D2OkoMdxq2d/5PzeLDznjUfjOr/b8CLZPiHh2e06ng2uh5XHSWUV1sS 7y4G06GRY1DCSJtblYCOYFgEBYTaJBUcJboAK36PQuNMIXp/ukOpjKt1+IkOy7QUXWERBto7 E3EyUXFFZjNGPC7EPDPD8xBeZRl9vSYeigo6IFk8ifmzPJVRN0nU1roVMwU/z+Yvx9s73QOk W3FAHLCLYbAbU9OB6AoE/bHhuInQBVu7Hk3iXU/7l1vX2WSTcHwMtNCJ3jPHN8V4iZ2nTm1s PSci/JBCheHCt03c2gAGllUJOuPHmHgbfnvpFiXWdSIdjAEb5zqDVhAzpW4HdUqiIkqkjJxN 7N6LKzHPW6ttbJMDyI5OkNJjcXl28/P47MYyAwYdJ01XxmbBfUdVhU/Q4qL9jtMrcqw6ZxST EGFrIMwEfGRxTFUuKgsqsooenHoRxophMfs4Nn1NJG5eBNDNeXudRnN+QSh9kpbbHpKKt8kX nRHNV1dn5fv3V+Gx1Q1nW06tLbRxak0k8l8mCKYNM0HSrLOUifIiXiqAq2wbwDSKwhc9otOF v9OYrJX0MRmLPXXmkQq3CE92s+QSv8JLlvAdBq3wnDt906jyHWtGszQRRVOMVa4jcbT8vYYt lfd3heX5KkujJgmT6dQj/CFhFkRxhjdgRegprI1U4ppcFnr5HRpOnRNIo2Jb8OvsT68AOBx5 rM5IIBe18N7Okrl/tjo6TIlPixnGEtcex4Agurx1YjqqwU9v9AbiYjP2Q26swwtmVxISzYM0 9RsJEFTneshOvILZtV7Gzuv0aFvF/9KwyDzV7d1I3JjP003IE/rsCBkVfauSHuo/3dOrBaPf RRmyxOn6anv29rK/EUK3zdbh3DANbwkaLytM0WEtPIIG8zpQIgVSiJImmvEEQGVWF8AdAllu 0n5tiyUTpKoDQqzFyqjxoGAfRP8AgSdw6oRfVloylhO09LwOtl5fY7lZRvEEJ6QMdQXjzlL3 PMZK07crHhm0ijweD9xjmS5jnljJIozeF4GM4KIN+VltlytRu1dWce12M0ZwC74W0htBhng7 8dTKwGDKf/Jy+ngP4rpgPpoAGQYsWEXNNivCNrOqIZIyVBVBTVyU6LlGy42A41ifwPICHjWe 4AfAnffgxnTNqCLi8Hp4l55R5QSEbzBdrU8YDGrAjLA069ZabXasqqjv+2a9FH9jRZkdDFhs gssoqAteGbIKwv1Cs3gKjYZYqYD67p31dvzdBrE0G0P/Q8xlnVX0Dtjpvfa8x0x7i5AsjTkc 6CJRrrfZLfNk9N1Rn64ku0U5akwGnQUSRtm3KnvqFYSaihNOLAuxL5f2tJxoijoFESEFdONP ASapfd8isayEpVTR74gWWE/aykKmziUeu2OxGIknPczMcw7SoxHtcLGYzStYW4Qny6lBx/xf ImJM5sBRnAfOc3Q5vLLxev9Avi2uctcU3lHgcFTUcCxKma/NMFy6KdxOPFdghE7b9XHBTm20 ELExDCdMBGCWKxGfJFg3OuhSMiQWmWrpcalbXysRvqUhsVURGQf55SKB3Uvdk0nMyOp4UBmq KaY9WpQ2o7TQ9EZawEAZ+ygAgMHyZSoxT9MZTFvMrhqi9Fmwv/lpJplelOJwIU+ollqSh38U WfJXuAnFIeWcUbzMLkCfsHbItyzmZKnWa26VYg8X6lH1cvqF0rKflX8tWPVXtMP/g0ZIdglw xigmJTxnQDY2Cf5WEX9BFkY5W0Zfx+dfKDzPMK0daMxfPx1fn2azycUfw0/awGqkdbWgTaLi A+hFkFaKmWrmrx7+JpDF1nB16hsmqXi8Ht5vn85+UMMnDmV9cARg3bpC6jA0F1SxBcShAwkI eLZZ6FQggxWPQ9DlyZ2MD3OQdIpg1ZYN6ZpeR4WRrk0pEEpUTHJzyASg90yVFEKu0O5s6yUw nbnedAsS36WtqQhz2wRFZKQ3k3+6+VP6mzvap3Z4KfNqytwI5pFbYDJHH7NgoXXotgC5FhRs 4SymSDB/Hw9Z+V4HiDyu7cbmbvc6nK+pyOnTt4UrXHSCecESsp3ysmblylgVLUSefoLBGSqa gQ55YekCNlkYYZ4m0DfSZUw31FL4KxCSlGjotspd2ORqXboNXVup6mx8fD0mn4uvaSm7e+V1 P/66rKjUOSf8GGuWbeYijcB1RExKlMwjrGVOzVfBlkkEJ7nUeEQD59q5t/OtpYSnsMP1NZAl 1r5Y5RbgMt2NnSUIwKl/LRdtqxTfUmkyjN94BGDqQ5Hpy76ZbUlgRk5o2nal6Ma/S7cKfoty Nh79Fh1OOUlokmnf2D8I6mB0CB2CT7eHH/f7t8Mnh9AxvLQYDFb3dxF4CPEMLFZ6zV+VG091 ZGstyd/NtjAr1qrz2mC8hVfwA0kXlPy1dRAopPVK/K0LoeK3cRUuIZ5zTyDHXx8s8nFDX0cX WVY1vpKy+CTKrDLJLGgC5Me1RHiERzESmX0PeYnZtUAUzKkCL0BCsZ1lISL2QFHJNIaCCo/9 U2ri2gvtAJWyTos8sH83S31BA6CMBKxZF3Pj6qolV5/BU2FoiFDNwnqv9Miph7y2hyDKV/Ri CTisBm368LeQl0rqOlNgMXvstuuZnC79GwTVNmKYa6VZ+eopCqo6x4ywfrzPUiOQzqbooPTl RodHa2wOi8hTkEcSftC/LGRevczP/C9yz67VvafhR8e8NL1AQyvFogHFwnzwhPnix3wxFp2B m5Gx/BbJyNPwbDLxYnydMUoLWpihF+Ptge7UamHG/o+eUo64FsnU2/CFt+GLc6qGjUkyGfQ8 Tq9kk2jscV42OvmFdspBIlCjcYU1tHppNDMcfbw8gGZof5FIaP9hByg7iY4f2c0qBBVeruOd mVcI2gNZp/DNn8Jby1qBnSVx+saP+joc0y0Ora21zvisKQhYbb86YQEKnWRmSYUPIqxpa7Ym 4WkV1UVGYIqMVZylBOaq4HFMtbZkEQ0vomjtgjn0iumpz0+ItOaV9zN575dWdbHmep0BRKBx xbBDxvRtTJ1yXOeUfTJrtpe6om5cw8gQ08PN+wt6nzkVMfAk0l+Pv5siusSiBI1jW1NyZ1SU HOQ70HSAvgDFUjdiFDWgQtWyEhultbaD629swlWTQaPCsdkjabTXHk2YRKXw9agK7rkDU7SU mteiDMMQso5KSj0glYtOaHoX5t1bsSKMUug82oCDLL+SmeyZZRxyyGjLNIiGaE+W16yei13o RSCaSWDSV1Gck/diykLXjQ7T1nhcJl8/YYTn7dN/Hj//s3/Yf75/2t8+Hx8/v+5/HKCd4+1n LN53h2vj8/fnH5/kclkfXh4P92c/9y+3B+Eh2i0b6R8vqmyfHR+PGLJ1/J99G1eqFiQmiYRP CNZNmqWGliNQmLoIh89Tt9IhXsAe9dIq93u6Swrt/6JToLW9RdTX7LJCXmHoFm1cy8iZpHH5 5Z/nt6ezm6eXw9nTy9nPw/2zCOU1iOGTl0ayOAM8cuERC0mgS1quA56v9MsJC+E+sjLqnWhA l7TQb2Y6GEnoasaq496eMF/n13nuUgPQbQHVbpcU2DFbEu22cONEb1Eer0XzwZOKJK7ynOaX i+FoltSxg0jrmAa6XRd/iNmvqxXwUaLjnhSFahnwxG1sGdfo4oGMBdOXq7Wcv3+/P9788ffh n7MbsazvXvbPP/9xVnNRMqfJcEV0LQpCjxqm8EVY0vfJqvuJR6lqB6suNtFoMjFLAEpPofe3 nxj9cLN/O9yeRY/iizCY5D/Ht59n7PX16eYoUOH+be98YhAk7qgB7MGmW8ExyUaDPIuvMGaP GAQWLTlWkeuZo+iSb5zXRdAwcMGNmp25iNd/eLo9vLrdnVMrI1hQZlaFrNztERBrOgrmDiwu tsTrsr7X5bKLJnBXlUQ7IBRsC9NfzRlTLAxU1bS0pDpelnzjLIvV/vWnbxBBTnMmeJUwot/0 eG8S5jrIhce7w+ub+7IiOB+5LUuwdFSiZhTRPXOKaBjqmOJBux3J+OcxW0ejOfEyiSHtN6fX VcNByBfuViFfpW0Si7uGYwJGbaaEw64QDqmUO6biT0kI+414GhGeEO2OYjShc6x1FOdkPT+1 mVds6HwMAKFZCjwZUocRIOjApxNb7EejQ8A885jl2mNjWQwvepnrNp+YMdJS4Dk+/zSzBCs2 R+1lgDaeeyWNIuVyxffRgRi2XXCfWa9dkAzTbnOqFtmJQpYuMaynGs5dmwh1Zy6MXE65EH+p 2WRxyfrWjDpF3AUSFTloWj54U5bRqJnMptRLEzJTdjv522zBiR3awn0jpNCTTmYInh6eMf7M UAJOg7Qwa2ep4+M6c2CzsSsKyXtAB7aieK99tSejqvaPt08PZ+n7w/fDi0p1Q/UU69o3QU6J u2ExX1qF93RMez7Y3ZE4ywpNkFCnMCIc4DeOle0jjKPIr4gXoviKCal7TN8WoVIQfou48ATl 2XSopPg/GfsmnCUt7en++P1lDxrcy9P72/GROJpjPm8ZDAEvAuMuSEN9eIIhkdx8KhSEGNqO qG8IBBUpjrp0FPdAuDogQcLG6+NhH0l/fxXZhz225Nf+fnuOsdWW4I+bJmehlQ/ewZGTquPh jSR+GWVhRO07rEMogsSiwFfDwSLEjxqMe84MJA0CV/ds4U3oKliIumQu327hoC3NLia/ApJz tCSBt7iuTTgdUc6anjduFh+9c+OpqOq+9WNKKvO6S1WyRbQL+o9/OdiFx59en9QkzpY8aJY7 6uqYlVdJEqH5UNge8XZTHw8NndfzuKUq6zkS0pdu3RNVnvjIJbPDLEc/hEL6evYDA2COd48y zPXm5+Hm7+Pjne7eKG/RdZNq4QuNbkmB3WFJkrKiiZV34m90o40g93HlgvFw2uSX3fpWkGYe pQGciYW27dHFmxWNcAUznUiY8O0lpmnOQYLFgrma8KBi9UC4TYP8Cgt/JpZfrk4SR6kHm0bo 1sj1q0+FWvA0xGJ7MIRz09QfZEXIaY6SFzyJmrRO5tBh4mOkaVuv2ncKOwz4KbbBQllg4VEI x2ezQAFWuGDlMde/TlCgkwIsSJBn0jZViMFeA9hCIEcYoOHUpHA1OuhMVTfmU0ayKaF1qhrX Dhx2UTS/stQxDeOp+iJJWLH1lUmSFDBNPuzU27IXQUWsw8HnKuvBrPt1Uqw7jxOWhlmiDQrR rO7A1LWFUOlnZ8LRZQ4lJ1OevpbChAXVnbJMKNWy7pplQDVHLJOa7J/uZmWBKfrdNYLt360x 0oSJ+MXcpeVMT1LaApkeqN3BqhXsTgeBBS7ddufBN30uW6hnFrtva5bXXNuwGmIOiBGJia8T RiJ21x76zAMfk3Acfpe16NdXasFGcMKUWZwZWp8OxUu8mQcFb9RQItZhw2IVlaDGkRUFu5Ks S+NaZZkFHDjVJmoEQYdCbgdcUI+8lCARm2RwR4SHxlAmDINOOkAq+isRcDIYQYYChwhoU9y+ ab0WXFVUrw7Doqma6VieC+rglbWr9fUiiDFa2uPsXi7jU6VsxU5EGFHJlymrat0RM7zUD404 M16Ev/sYTBqbjuFBfI03ndpEFZeoJ2ivSHIOHKX7nfGwwTqCcJ4aEwOTpRbTJiwzd4ktowrL q2eLUJ9R/ZlGP0EMRCVOUz2yIUNLh+tWh3AyBgXpZ79mVguzX/pRV2JgdBZbMy0uLrdMr6ZW woRb0Yd4/ZwuybHXMuxYwpN5uaqkPQF9fjk+vv0ts8M8HF7v3Jt6ESO0FkNjCFASjN5i9OWV dDVtQCKOQZyKT1d0X7wUlzWPqq/j04oANoJX5E4L464XWD1YdSWMYkbffIdXKUt4n7+gQeFk Cz+Jusk8Q8E8Kgog1zeLeAz+22BF2zbqqJ0N7wifbFjH+8Mfb8eHVgZ+FaQ3Ev7izod8V2vJ cGCwZ8I6iIxkbhpWsWdPWVKNsgQZjxZvNKJwy4oFLdEswznGVvKc3CdRKq4ykxpNom2Aq9ow BQytiEb7ijXn/6Ut/Bx4Nka/m4EeRcRC0Rogya6sgADLw4jilzGlmslPKqMAxVaMKklYFWhs 2saI7mEE6ZXd7zwTZ5A7/IsMY9ulkyiW6cnpwk+/vR7+pdd4a/d2ePj+fifKjPPH17eXd0xm q62chKFyCgpboWlPGvDk6yBn5+vg15CisuuAuzi8VawxD8jXT5/MIdb9XxSk9a9lcUyMmnRw FgQJhpj3rMhTS+j8QUyyODsEq13D4tTfhb8phV0pPvW8ZG0kLb+O7J4KLDmZvzU95nBIN297 kDDOSdktW4+TU2O63i5ciqJdhUUNPM4tskEkFJIArVViM9k29RhoBRoWOhaq9VgF5FuKLGQY IkoLCafhlcTbnTv9W8q6dNJgK/RpNs4kAektTyjbzebfYEf3UZQxo5aEWEPtTIF4GMN2dnut MH3NCy+lGs832qoCTDFsqaI0lDyyp70NlW/KGmA0iNWM2GQtwssXZTky4RZlSWLax2D47MKq Lk2gKUkhEJ1cM9xknWnZxGKABwo+adZtQxCLVWiQ6Y3V7Q2nLysrJ5W8J0f6s+zp+fXzGRYO eH+WTHe1f7zTJSGGhZnhGMgM+d4AY16JWjOfS6QQSOvq60Cb4WxRoZm5zj8oQsSK8HfoJLJZ YTqgipX0YtlewskF51fouZ/FndrIt5EMrX+gpMsnHFq373hS6RzKWNYqjMEAmgKNgImoDH16 qbbNpYoDvY6i3Ajrb1keqIRJfiqPit3XOPJ/vT4fH9HLBb7s4f3t8OsA/zi83fz555//3fVf 3AuI5pZCCncVg7yAla4yB5BjLO8WKtZ3lKGSWVfRzhP+0q5lorKuRfJxI9utJAKGl21zVtH3 d22vtmWU9DUmr1XsY8UgESXvQVqIYZJcVtGOm7wjbFUcSnwUL4KdgCqrWLT6JVz3SaSSdFph C6MFWpP6PyySTlAFdldhfJX+fUJghKFp6rQEnR8WvDTU9YzmWp5SHm71t5Qpbvdv+zMUJm7Q im7kKGiHlHsGoN0VH+DLvvNdZKLgluW5083woE0bIQKARoUZnn35o3s/yX5rACpOhDXszSz8 8tY9qCm+41sqQN6ISlo+GQUJ+h7G7CcfNgCyfiM0j9M5MBoaL7AXCwKjy77cOqLjwhPdCOUj B9ccE4cBXLaqSUEoJaZ6K3YdSJd410V9K5qC0+CqyjTzmLid77aExjJ1OWJRp1LBEkSFDwuf mq9oGqXAL9Rg+pHNllcrtC2Vv0Emw9yFmcMmb8kSkfoK2sNrGosEUzyIeUdKoRrajQTtg7KV DinbDsxLbAR6zh3ZGWJS8EDiIYjzq4APzy/GwkjYinIdM2RJHkcUp9VkSJFjjrdKlhGHLhei pNCbFemnNZyzXX/NpuR2FR8PktoiZsvSXTMWPsW0dzZNxIr4SpmG6lK3wM+mTWunEfajOqef 8rQVzpeeB0RWs11o+me2ckk8X8Q16ZIjlkGS8MzeIN01AHQYLeshbqW+M41n0gjWDHZk7RYN b1qITojab0Q70djqtc0mhEmOFcwjKgQ5kVLIagN9jTymPHnwJLzP/CwHTNgEcs13Kq8xsAMl EFsErdMtT3F4XSNOy0TNlaobVKvD6xsKBygHB0//Przs7w76MbzGt5Lfoo5PtCeKcgzfpJmJ JG6z51A0pqYE+lGQbdo9ot9dFcBg0L6Ng4ZcpHUs6wTTdVjR8ohUC/Byv7QySJskCU/R2Ea7 TwsK7/Pz7oSAeSUkMvURc7za6cHrl0P+jaLfE/nJZKIRP14Ks9Nx/64UH76Kdmib6BkZadiX kRH0zlF0ZeCJ8BIEa6CoMspwItCCVRmOOAIsbxr8rda1neZUx8oLNj+e0v5NigLvsUUwXM8Y +twLBZaHtI+cXLzrnpUN325liTPxm8RvKpODg0KON+5OviOnHZYkEp1nVngX4mS9VLsfnUOg n52Pi7+1BS8SUDd6BlJmnur5Hv8p0K5BESbozSYg12Fiy6MGq4iSgMFq7H0JKoMe3qka6ScQ EXxoruzp58Ij9ELj3i29uoJNulGclJS6e08HJzxQ3sn9LxQbmvsVXwIA --OXfL5xGRrasGEqWY--