2022-11-18 08:12:30

by Xing Zhengjun

[permalink] [raw]
Subject: [PATCH 1/5] perf vendor events: Add the cpuid for Alderlake-N

From: Zhengjun Xing <[email protected]>

Alderlake-N only has E-core, it has been moved to non-hybrid code path on
the kernel side, add the cpuid for Alderlake-N separately. Both events for
Alderlake and Alderlake-N are based on JSON file v1.16.

Signed-off-by: Zhengjun Xing <[email protected]>
Reviewed-by: Kan Liang <[email protected]>
---
tools/perf/pmu-events/arch/x86/mapfile.csv | 3 ++-
1 file changed, 2 insertions(+), 1 deletion(-)

diff --git a/tools/perf/pmu-events/arch/x86/mapfile.csv b/tools/perf/pmu-events/arch/x86/mapfile.csv
index 5e609b876790..df47462a125f 100644
--- a/tools/perf/pmu-events/arch/x86/mapfile.csv
+++ b/tools/perf/pmu-events/arch/x86/mapfile.csv
@@ -1,5 +1,6 @@
Family-model,Version,Filename,EventType
-GenuineIntel-6-(97|9A|B7|BA|BE|BF),v1.15,alderlake,core
+GenuineIntel-6-(97|9A|B7|BA|BF),v1.16,alderlake,core
+GenuineIntel-6-BE,v1.16,alderlaken,core
GenuineIntel-6-(1C|26|27|35|36),v4,bonnell,core
GenuineIntel-6-(3D|47),v26,broadwell,core
GenuineIntel-6-56,v23,broadwellde,core
--
2.25.1



2022-11-18 08:29:39

by Xing Zhengjun

[permalink] [raw]
Subject: [PATCH 2/5] perf vendor events intel: Add core event list for Alderlake-N

From: Zhengjun Xing <[email protected]>

Alderlake-N only has E-core, it has been moved to non-hybrid code path on
the kernel side. Add core event list for Alderlake-N, it is based on the
ADL gracemont v1.16 JSON file.

https://github.com/intel/perfmon/tree/main/ADL/events/

Signed-off-by: Zhengjun Xing <[email protected]>
Reviewed-by: Kan Liang <[email protected]>
---
.../pmu-events/arch/x86/alderlaken/cache.json | 450 ++++++++++
.../arch/x86/alderlaken/floating-point.json | 25 +
.../arch/x86/alderlaken/frontend.json | 38 +
.../arch/x86/alderlaken/memory.json | 109 +++
.../pmu-events/arch/x86/alderlaken/other.json | 42 +
.../arch/x86/alderlaken/pipeline.json | 774 ++++++++++++++++++
.../arch/x86/alderlaken/virtual-memory.json | 71 ++
7 files changed, 1509 insertions(+)
create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/cache.json
create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json
create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/frontend.json
create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/memory.json
create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/other.json
create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json
create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json

diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/cache.json b/tools/perf/pmu-events/arch/x86/alderlaken/cache.json
new file mode 100644
index 000000000000..a6aa87d1365b
--- /dev/null
+++ b/tools/perf/pmu-events/arch/x86/alderlaken/cache.json
@@ -0,0 +1,450 @@
+[
+ {
+ "BriefDescription": "Counts the number of cacheable memory requests that miss in the LLC. Counts on a per core basis.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x2e",
+ "EventName": "LONGEST_LAT_CACHE.MISS",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cacheable memory requests that miss in the Last Level Cache (LLC). Requests include demand loads, reads for ownership (RFO), instruction fetches and L1 HW prefetches. If the platform has an L3 cache, the LLC is the L3 cache, otherwise it is the L2 cache. Counts on a per core basis.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x41"
+ },
+ {
+ "BriefDescription": "Counts the number of cacheable memory requests that access the LLC. Counts on a per core basis.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x2e",
+ "EventName": "LONGEST_LAT_CACHE.REFERENCE",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cacheable memory requests that access the Last Level Cache (LLC). Requests include demand loads, reads for ownership (RFO), instruction fetches and L1 HW prefetches. If the platform has an L3 cache, the LLC is the L3 cache, otherwise it is the L2 cache. Counts on a per core basis.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x4f"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x34",
+ "EventName": "MEM_BOUND_STALLS.IFETCH",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or translation lookaside buffer (TLB) miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x38"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in DRAM or MMIO (Non-DRAM).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x34",
+ "EventName": "MEM_BOUND_STALLS.IFETCH_DRAM_HIT",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or translation lookaside buffer (TLB) miss which hit in DRAM or MMIO (non-DRAM).",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x20"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in the L2 cache.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x34",
+ "EventName": "MEM_BOUND_STALLS.IFETCH_L2_HIT",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or Translation Lookaside Buffer (TLB) miss which hit in the L2 cache.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x8"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in the LLC or other core with HITE/F/M.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x34",
+ "EventName": "MEM_BOUND_STALLS.IFETCH_LLC_HIT",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or Translation Lookaside Buffer (TLB) miss which hit in the Last Level Cache (LLC) or other core with HITE/F/M.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x10"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x34",
+ "EventName": "MEM_BOUND_STALLS.LOAD",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x7"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load miss which hit in DRAM or MMIO (Non-DRAM).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x34",
+ "EventName": "MEM_BOUND_STALLS.LOAD_DRAM_HIT",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x4"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the L2 cache.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x34",
+ "EventName": "MEM_BOUND_STALLS.LOAD_L2_HIT",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the LLC or other core with HITE/F/M.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x34",
+ "EventName": "MEM_BOUND_STALLS.LOAD_LLC_HIT",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the Last Level Cache (LLC) or other core with HITE/F/M.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts the number of load uops retired that hit in DRAM.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Data_LA": "1",
+ "EventCode": "0xd1",
+ "EventName": "MEM_LOAD_UOPS_RETIRED.DRAM_HIT",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0x80"
+ },
+ {
+ "BriefDescription": "Counts the number of load uops retired that hit in the L2 cache.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Data_LA": "1",
+ "EventCode": "0xd1",
+ "EventName": "MEM_LOAD_UOPS_RETIRED.L2_HIT",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts the number of load uops retired that hit in the L3 cache.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Data_LA": "1",
+ "EventCode": "0xd1",
+ "EventName": "MEM_LOAD_UOPS_RETIRED.L3_HIT",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0x4"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that uops are blocked for any of the following reasons: load buffer, store buffer or RSV full.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x04",
+ "EventName": "MEM_SCHEDULER_BLOCK.ALL",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x7"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that uops are blocked due to a load buffer full condition.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x04",
+ "EventName": "MEM_SCHEDULER_BLOCK.LD_BUF",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that uops are blocked due to an RSV full condition.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x04",
+ "EventName": "MEM_SCHEDULER_BLOCK.RSV",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x4"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that uops are blocked due to a store buffer full condition.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x04",
+ "EventName": "MEM_SCHEDULER_BLOCK.ST_BUF",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of load uops retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.ALL_LOADS",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of load uops retired.",
+ "SampleAfterValue": "200003",
+ "UMask": "0x81"
+ },
+ {
+ "BriefDescription": "Counts the number of store uops retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.ALL_STORES",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of store uops retired.",
+ "SampleAfterValue": "200003",
+ "UMask": "0x82"
+ },
+ {
+ "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 128 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_128",
+ "L1_Hit_Indication": "1",
+ "MSRIndex": "0x3F6",
+ "MSRValue": "0x80",
+ "PEBS": "2",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 128 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
+ "SampleAfterValue": "1000003",
+ "TakenAlone": "1",
+ "UMask": "0x5"
+ },
+ {
+ "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 16 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_16",
+ "L1_Hit_Indication": "1",
+ "MSRIndex": "0x3F6",
+ "MSRValue": "0x10",
+ "PEBS": "2",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 16 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
+ "SampleAfterValue": "1000003",
+ "TakenAlone": "1",
+ "UMask": "0x5"
+ },
+ {
+ "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 256 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_256",
+ "L1_Hit_Indication": "1",
+ "MSRIndex": "0x3F6",
+ "MSRValue": "0x100",
+ "PEBS": "2",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 256 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
+ "SampleAfterValue": "1000003",
+ "TakenAlone": "1",
+ "UMask": "0x5"
+ },
+ {
+ "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 32 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_32",
+ "L1_Hit_Indication": "1",
+ "MSRIndex": "0x3F6",
+ "MSRValue": "0x20",
+ "PEBS": "2",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 32 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
+ "SampleAfterValue": "1000003",
+ "TakenAlone": "1",
+ "UMask": "0x5"
+ },
+ {
+ "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 4 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_4",
+ "L1_Hit_Indication": "1",
+ "MSRIndex": "0x3F6",
+ "MSRValue": "0x4",
+ "PEBS": "2",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 4 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
+ "SampleAfterValue": "1000003",
+ "TakenAlone": "1",
+ "UMask": "0x5"
+ },
+ {
+ "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 512 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_512",
+ "L1_Hit_Indication": "1",
+ "MSRIndex": "0x3F6",
+ "MSRValue": "0x200",
+ "PEBS": "2",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 512 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
+ "SampleAfterValue": "1000003",
+ "TakenAlone": "1",
+ "UMask": "0x5"
+ },
+ {
+ "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 64 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_64",
+ "L1_Hit_Indication": "1",
+ "MSRIndex": "0x3F6",
+ "MSRValue": "0x40",
+ "PEBS": "2",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 64 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
+ "SampleAfterValue": "1000003",
+ "TakenAlone": "1",
+ "UMask": "0x5"
+ },
+ {
+ "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 8 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_8",
+ "L1_Hit_Indication": "1",
+ "MSRIndex": "0x3F6",
+ "MSRValue": "0x8",
+ "PEBS": "2",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 8 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
+ "SampleAfterValue": "1000003",
+ "TakenAlone": "1",
+ "UMask": "0x5"
+ },
+ {
+ "BriefDescription": "Counts the number of retired split load uops.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.SPLIT_LOADS",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0x41"
+ },
+ {
+ "BriefDescription": "Counts the number of stores uops retired. Counts with or without PEBS enabled.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Data_LA": "1",
+ "EventCode": "0xd0",
+ "EventName": "MEM_UOPS_RETIRED.STORE_LATENCY",
+ "L1_Hit_Indication": "1",
+ "PEBS": "2",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of stores uops retired. Counts with or without PEBS enabled. If PEBS is enabled and a PEBS record is generated, will populate PEBS Latency and PEBS Data Source fields accordingly.",
+ "SampleAfterValue": "1000003",
+ "UMask": "0x6"
+ },
+ {
+ "BriefDescription": "Counts demand data reads that were supplied by the L3 cache.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_DATA_RD.L3_HIT",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x3F803C0001",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand data reads that were supplied by the L3 cache where a snoop was sent, the snoop hit, and modified data was forwarded.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x10003C0001",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand data reads that were supplied by the L3 cache where a snoop was sent, the snoop hit, but no data was forwarded.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_NO_FWD",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x4003C0001",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand data reads that were supplied by the L3 cache where a snoop was sent, the snoop hit, and non-modified data was forwarded.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_WITH_FWD",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x8003C0001",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were supplied by the L3 cache.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_RFO.L3_HIT",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x3F803C0002",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were supplied by the L3 cache where a snoop was sent, the snoop hit, and modified data was forwarded.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_RFO.L3_HIT.SNOOP_HITM",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x10003C0002",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to instruction cache misses.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.ICACHE",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x20"
+ }
+]
diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json b/tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json
new file mode 100644
index 000000000000..02eb1af8db00
--- /dev/null
+++ b/tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json
@@ -0,0 +1,25 @@
+[
+ {
+ "BriefDescription": "Counts the number of floating point operations retired that required microcode assist.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc3",
+ "EventName": "MACHINE_CLEARS.FP_ASSIST",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of floating point operations retired that required microcode assist, which is not a reflection of the number of FP operations, instructions or uops.",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x4"
+ },
+ {
+ "BriefDescription": "Counts the number of floating point divide uops retired (x87 and SSE, including x87 sqrt).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc2",
+ "EventName": "UOPS_RETIRED.FPDIV",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "2000003",
+ "UMask": "0x8"
+ }
+]
diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/frontend.json b/tools/perf/pmu-events/arch/x86/alderlaken/frontend.json
new file mode 100644
index 000000000000..ed0587945a05
--- /dev/null
+++ b/tools/perf/pmu-events/arch/x86/alderlaken/frontend.json
@@ -0,0 +1,38 @@
+[
+ {
+ "BriefDescription": "Counts the total number of BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xe6",
+ "EventName": "BACLEARS.ANY",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of BACLEARS, which occur when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend. Includes BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
+ "SampleAfterValue": "100003",
+ "Speculative": "1",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of requests to the instruction cache for one or more bytes of a cache line.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x80",
+ "EventName": "ICACHE.ACCESSES",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of requests to the instruction cache. The event only counts new cache line accesses, so that multiple back to back fetches to the exact same cache line or byte chunk count as one. Specifically, the event counts when accesses from sequential code crosses the cache line boundary, or when a branch target is moved to a new line or to a non-sequential byte chunk of the same line.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x3"
+ },
+ {
+ "BriefDescription": "Counts the number of instruction cache misses.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x80",
+ "EventName": "ICACHE.MISSES",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of missed requests to the instruction cache. The event only counts new cache line accesses, so that multiple back to back fetches to the exact same cache line and byte chunk count as one. Specifically, the event counts when accesses from sequential code crosses the cache line boundary, or when a branch target is moved to a new line or to a non-sequential byte chunk of the same line.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ }
+]
diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/memory.json b/tools/perf/pmu-events/arch/x86/alderlaken/memory.json
new file mode 100644
index 000000000000..cabf2fc8600f
--- /dev/null
+++ b/tools/perf/pmu-events/arch/x86/alderlaken/memory.json
@@ -0,0 +1,109 @@
+[
+ {
+ "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer is stalled due to any number of reasons, including an L1 miss, WCB full, pagewalk, store address block or store data block, on a load that retires.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x05",
+ "EventName": "LD_HEAD.ANY_AT_RET",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0xff"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer is stalled due to a core bound stall including a store address match, a DTLB miss or a page walk that detains the load from retiring.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x05",
+ "EventName": "LD_HEAD.L1_BOUND_AT_RET",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0xf4"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to other block cases.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x05",
+ "EventName": "LD_HEAD.OTHER_AT_RET",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to other block cases such as pipeline conflicts, fences, etc.",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0xc0"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to a pagewalk.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x05",
+ "EventName": "LD_HEAD.PGWALK_AT_RET",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0xa0"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to a store address match.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x05",
+ "EventName": "LD_HEAD.ST_ADDR_AT_RET",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x84"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears due to memory ordering caused by a snoop from an external agent. Does not count internally generated machine clears such as those due to memory disambiguation.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc3",
+ "EventName": "MACHINE_CLEARS.MEMORY_ORDERING",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts demand data reads that were not supplied by the L3 cache.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_DATA_RD.L3_MISS",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x3F84400001",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand data reads that were not supplied by the L3 cache.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_DATA_RD.L3_MISS_LOCAL",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x3F84400001",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were not supplied by the L3 cache.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_RFO.L3_MISS",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x3F84400002",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were not supplied by the L3 cache.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_RFO.L3_MISS_LOCAL",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x3F84400002",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ }
+]
diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/other.json b/tools/perf/pmu-events/arch/x86/alderlaken/other.json
new file mode 100644
index 000000000000..25c7f1f47e28
--- /dev/null
+++ b/tools/perf/pmu-events/arch/x86/alderlaken/other.json
@@ -0,0 +1,42 @@
+[
+ {
+ "BriefDescription": "Counts modified writebacks from L1 cache and L2 cache that have any type of response.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.COREWB_M.ANY_RESPONSE",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x10008",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand data reads that have any type of response.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_DATA_RD.ANY_RESPONSE",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x10001",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that have any type of response.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.DEMAND_RFO.ANY_RESPONSE",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x10002",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts streaming stores that have any type of response.",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xB7",
+ "EventName": "OCR.STREAMING_WR.ANY_RESPONSE",
+ "MSRIndex": "0x1a6,0x1a7",
+ "MSRValue": "0x10800",
+ "SampleAfterValue": "100003",
+ "UMask": "0x1"
+ }
+]
diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json b/tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json
new file mode 100644
index 000000000000..f922d96e5aaa
--- /dev/null
+++ b/tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json
@@ -0,0 +1,774 @@
+[
+ {
+ "BriefDescription": "Counts the total number of branch instructions retired for all branch types.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.ALL_BRANCHES",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of instructions in which the instruction pointer (IP) of the processor is resteered due to a branch instruction and the branch instruction successfully retires. All branch type instructions are accounted for.",
+ "SampleAfterValue": "200003"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.NEAR_CALL",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.CALL",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xf9"
+ },
+ {
+ "BriefDescription": "Counts the number of retired JCC (Jump on Conditional Code) branch instructions retired, includes both taken and not taken branches.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.COND",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0x7e"
+ },
+ {
+ "BriefDescription": "Counts the number of taken JCC (Jump on Conditional Code) branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.COND_TAKEN",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xfe"
+ },
+ {
+ "BriefDescription": "Counts the number of far branch instructions retired, includes far jump, far call and return, and interrupt call and return.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.FAR_BRANCH",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xbf"
+ },
+ {
+ "BriefDescription": "Counts the number of near indirect JMP and near indirect CALL branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.INDIRECT",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xeb"
+ },
+ {
+ "BriefDescription": "Counts the number of near indirect CALL branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.INDIRECT_CALL",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xfb"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.INDIRECT_CALL",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.IND_CALL",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xfb"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.COND",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.JCC",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0x7e"
+ },
+ {
+ "BriefDescription": "Counts the number of near CALL branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.NEAR_CALL",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xf9"
+ },
+ {
+ "BriefDescription": "Counts the number of near RET branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.NEAR_RETURN",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xf7"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.INDIRECT",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.NON_RETURN_IND",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xeb"
+ },
+ {
+ "BriefDescription": "Counts the number of near relative CALL branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.REL_CALL",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xfd"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.NEAR_RETURN",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.RETURN",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xf7"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.COND_TAKEN",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc4",
+ "EventName": "BR_INST_RETIRED.TAKEN_JCC",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xfe"
+ },
+ {
+ "BriefDescription": "Counts the total number of mispredicted branch instructions retired for all branch types.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.ALL_BRANCHES",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of mispredicted branch instructions retired. All branch type instructions are accounted for. Prediction of the branch target address enables the processor to begin executing instructions before the non-speculative execution path is known. The branch prediction unit (BPU) predicts the target address based on the instruction pointer (IP) of the branch and on the execution path through which execution reached this IP. A branch misprediction occurs when the prediction is wrong, and results in discarding all instructions executed in the speculative path and re-fetching from the correct path.",
+ "SampleAfterValue": "200003"
+ },
+ {
+ "BriefDescription": "Counts the number of mispredicted JCC (Jump on Conditional Code) branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.COND",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0x7e"
+ },
+ {
+ "BriefDescription": "Counts the number of mispredicted taken JCC (Jump on Conditional Code) branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.COND_TAKEN",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xfe"
+ },
+ {
+ "BriefDescription": "Counts the number of mispredicted near indirect JMP and near indirect CALL branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.INDIRECT",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xeb"
+ },
+ {
+ "BriefDescription": "Counts the number of mispredicted near indirect CALL branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.INDIRECT_CALL",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xfb"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.INDIRECT_CALL",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.IND_CALL",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xfb"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.COND",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.JCC",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0x7e"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.INDIRECT",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.NON_RETURN_IND",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xeb"
+ },
+ {
+ "BriefDescription": "Counts the number of mispredicted near RET branch instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.RETURN",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xf7"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.COND_TAKEN",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0xc5",
+ "EventName": "BR_MISP_RETIRED.TAKEN_JCC",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "200003",
+ "UMask": "0xfe"
+ },
+ {
+ "BriefDescription": "Counts the number of unhalted core clock cycles. (Fixed event)",
+ "CollectPEBSRecord": "2",
+ "Counter": "Fixed counter 1",
+ "EventName": "CPU_CLK_UNHALTED.CORE",
+ "PEBScounters": "33",
+ "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses fixed counter 1.",
+ "SampleAfterValue": "2000003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts the number of unhalted core clock cycles.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x3c",
+ "EventName": "CPU_CLK_UNHALTED.CORE_P",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses a programmable general purpose performance counter.",
+ "SampleAfterValue": "2000003",
+ "Speculative": "1"
+ },
+ {
+ "BriefDescription": "Counts the number of unhalted reference clock cycles at TSC frequency. (Fixed event)",
+ "CollectPEBSRecord": "2",
+ "Counter": "Fixed counter 2",
+ "EventName": "CPU_CLK_UNHALTED.REF_TSC",
+ "PEBScounters": "34",
+ "PublicDescription": "Counts the number of reference cycles that the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. This event is not affected by core frequency changes and increments at a fixed frequency that is also used for the Time Stamp Counter (TSC). This event uses fixed counter 2.",
+ "SampleAfterValue": "2000003",
+ "Speculative": "1",
+ "UMask": "0x3"
+ },
+ {
+ "BriefDescription": "Counts the number of unhalted reference clock cycles at TSC frequency.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x3c",
+ "EventName": "CPU_CLK_UNHALTED.REF_TSC_P",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of reference cycles that the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. This event is not affected by core frequency changes and increments at a fixed frequency that is also used for the Time Stamp Counter (TSC). This event uses a programmable general purpose performance counter.",
+ "SampleAfterValue": "2000003",
+ "Speculative": "1",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of unhalted core clock cycles. (Fixed event)",
+ "CollectPEBSRecord": "2",
+ "Counter": "Fixed counter 1",
+ "EventName": "CPU_CLK_UNHALTED.THREAD",
+ "PEBScounters": "33",
+ "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses fixed counter 1.",
+ "SampleAfterValue": "2000003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts the number of unhalted core clock cycles.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x3c",
+ "EventName": "CPU_CLK_UNHALTED.THREAD_P",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses a programmable general purpose performance counter.",
+ "SampleAfterValue": "2000003",
+ "Speculative": "1"
+ },
+ {
+ "BriefDescription": "Counts the total number of instructions retired. (Fixed event)",
+ "CollectPEBSRecord": "2",
+ "Counter": "Fixed counter 0",
+ "EventName": "INST_RETIRED.ANY",
+ "PEBS": "1",
+ "PEBScounters": "32",
+ "PublicDescription": "Counts the total number of instructions that retired. For instructions that consist of multiple uops, this event counts the retirement of the last uop of the instruction. This event continues counting during hardware interrupts, traps, and inside interrupt handlers. This event uses fixed counter 0.",
+ "SampleAfterValue": "2000003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the total number of instructions retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc0",
+ "EventName": "INST_RETIRED.ANY_P",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of instructions that retired. For instructions that consist of multiple uops, this event counts the retirement of the last uop of the instruction. This event continues counting during hardware interrupts, traps, and inside interrupt handlers. This event uses a programmable general purpose performance counter.",
+ "SampleAfterValue": "2000003"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event LD_BLOCKS.ADDRESS_ALIAS",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
+ "EventCode": "0x03",
+ "EventName": "LD_BLOCKS.4K_ALIAS",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "UMask": "0x4"
+ },
+ {
+ "BriefDescription": "Counts the number of retired loads that are blocked because it initially appears to be store forward blocked, but subsequently is shown not to be blocked based on 4K alias check.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x03",
+ "EventName": "LD_BLOCKS.ADDRESS_ALIAS",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "UMask": "0x4"
+ },
+ {
+ "BriefDescription": "Counts the number of retired loads that are blocked because its address exactly matches an older store whose data is not ready.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x03",
+ "EventName": "LD_BLOCKS.DATA_UNKNOWN",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears due to memory ordering in which an internal load passes an older store within the same CPU.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc3",
+ "EventName": "MACHINE_CLEARS.DISAMBIGUATION",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x8"
+ },
+ {
+ "BriefDescription": "Counts the number of machines clears due to memory renaming.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc3",
+ "EventName": "MACHINE_CLEARS.MRN_NUKE",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x80"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears due to a page fault. Counts both I-Side and D-Side (Loads/Stores) page faults. A page fault occurs when either the page is not present, or an access violation occurs.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc3",
+ "EventName": "MACHINE_CLEARS.PAGE_FAULT",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x20"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears that flush the pipeline and restart the machine with the use of microcode due to SMC, MEMORY_ORDERING, FP_ASSISTS, PAGE_FAULT, DISAMBIGUATION, and FPC_VIRTUAL_TRAP.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc3",
+ "EventName": "MACHINE_CLEARS.SLOW",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x6f"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears due to program modifying data (self modifying code) within 1K of a recently fetched code page.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc3",
+ "EventName": "MACHINE_CLEARS.SMC",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "20003",
+ "Speculative": "1",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots not consumed by the backend due to a micro-sequencer (MS) scoreboard, which stalls the front-end from issuing from the UROM until a specified older uop retires.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x75",
+ "EventName": "SERIALIZATION.NON_C01_MS_SCB",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of issue slots not consumed by the backend due to a micro-sequencer (MS) scoreboard, which stalls the front-end from issuing from the UROM until a specified older uop retires. The most commonly executed instruction with an MS scoreboard is PAUSE.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a mispredicted jump or a machine clear.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x73",
+ "EventName": "TOPDOWN_BAD_SPECULATION.ALL",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a mispredicted jump or a machine clear. Only issue slots wasted due to fast nukes such as memory ordering nukes are counted. Other nukes are not accounted for. Counts all issue slots blocked during this recovery window including relevant microcode flows and while uops are not yet available in the instruction queue (IQ) even if an FE_bound event occurs during this period. Also includes the issue slots that were consumed by the backend but were thrown away because they were younger than the mispredict or machine clear.",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to fast nukes such as memory ordering and memory disambiguation machine clears.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x73",
+ "EventName": "TOPDOWN_BAD_SPECULATION.FASTNUKE",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a machine clear (nuke) of any kind including memory ordering and memory disambiguation.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x73",
+ "EventName": "TOPDOWN_BAD_SPECULATION.MACHINE_CLEARS",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x3"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to branch mispredicts.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x73",
+ "EventName": "TOPDOWN_BAD_SPECULATION.MISPREDICT",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x4"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to a machine clear (nuke).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x73",
+ "EventName": "TOPDOWN_BAD_SPECULATION.NUKE",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the total number of issue slots every cycle that were not consumed by the backend due to backend stalls.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x74",
+ "EventName": "TOPDOWN_BE_BOUND.ALL",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to certain allocation restrictions.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x74",
+ "EventName": "TOPDOWN_BE_BOUND.ALLOC_RESTRICTIONS",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to memory reservation stalls in which a scheduler is not able to accept uops.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x74",
+ "EventName": "TOPDOWN_BE_BOUND.MEM_SCHEDULER",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to IEC or FPC RAT stalls, which can be due to FIQ or IEC reservation stalls in which the integer, floating point or SIMD scheduler is not able to accept uops.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x74",
+ "EventName": "TOPDOWN_BE_BOUND.NON_MEM_SCHEDULER",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x8"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to the physical register file unable to accept an entry (marble stalls).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x74",
+ "EventName": "TOPDOWN_BE_BOUND.REGISTER",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x20"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to the reorder buffer being full (ROB stalls).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x74",
+ "EventName": "TOPDOWN_BE_BOUND.REORDER_BUFFER",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x40"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to scoreboards from the instruction queue (IQ), jump execution unit (JEU), or microcode sequencer (MS).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x74",
+ "EventName": "TOPDOWN_BE_BOUND.SERIALIZATION",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x10"
+ },
+ {
+ "BriefDescription": "Counts the total number of issue slots every cycle that were not consumed by the backend due to frontend stalls.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.ALL",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BACLEARS.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.BRANCH_DETECT",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BACLEARS, which occurs when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend. Includes BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x2"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BTCLEARS.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.BRANCH_RESTEER",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BTCLEARS, which occurs when the Branch Target Buffer (BTB) predicts a taken branch.",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x40"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to the microcode sequencer (MS).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.CISC",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to decode stalls.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.DECODE",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x8"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to frontend bandwidth restrictions due to decode, predecode, cisc, and other limitations.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.FRONTEND_BANDWIDTH",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x8d"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to a latency related stalls including BACLEARs, BTCLEARs, ITLB misses, and ICache misses.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.FRONTEND_LATENCY",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x72"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to ITLB misses.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.ITLB",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to Instruction Table Lookaside Buffer (ITLB) misses.",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x10"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to other common frontend stalls not categorized.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.OTHER",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x80"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to wrong predecodes.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x71",
+ "EventName": "TOPDOWN_FE_BOUND.PREDECODE",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x4"
+ },
+ {
+ "BriefDescription": "Counts the total number of consumed retirement slots.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc2",
+ "EventName": "TOPDOWN_RETIRING.ALL",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003"
+ },
+ {
+ "BriefDescription": "Counts the total number of uops retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc2",
+ "EventName": "UOPS_RETIRED.ALL",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "2000003"
+ },
+ {
+ "BriefDescription": "Counts the number of integer divide uops retired.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc2",
+ "EventName": "UOPS_RETIRED.IDIV",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "2000003",
+ "UMask": "0x10"
+ },
+ {
+ "BriefDescription": "Counts the number of uops that are from complex flows issued by the micro-sequencer (MS).",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc2",
+ "EventName": "UOPS_RETIRED.MS",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of uops that are from complex flows issued by the Microcode Sequencer (MS). This includes uops from flows due to complex instructions, faults, assists, and inserted flows.",
+ "SampleAfterValue": "2000003",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of x87 uops retired, includes those in MS flows.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0xc2",
+ "EventName": "UOPS_RETIRED.X87",
+ "PEBS": "1",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "2000003",
+ "UMask": "0x2"
+ }
+]
diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json b/tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json
new file mode 100644
index 000000000000..77f80d1a7cd4
--- /dev/null
+++ b/tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json
@@ -0,0 +1,71 @@
+[
+ {
+ "BriefDescription": "Counts the number of page walks completed due to load DTLB misses to any page size.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x08",
+ "EventName": "DTLB_LOAD_MISSES.WALK_COMPLETED",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of page walks completed due to loads (including SW prefetches) whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0xe"
+ },
+ {
+ "BriefDescription": "Counts the number of page walks completed due to store DTLB misses to any page size.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x49",
+ "EventName": "DTLB_STORE_MISSES.WALK_COMPLETED",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of page walks completed due to stores whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
+ "SampleAfterValue": "2000003",
+ "Speculative": "1",
+ "UMask": "0xe"
+ },
+ {
+ "BriefDescription": "Counts the number of page walks initiated by a instruction fetch that missed the first and second level TLBs.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x85",
+ "EventName": "ITLB_MISSES.MISS_CAUSED_WALK",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x1"
+ },
+ {
+ "BriefDescription": "Counts the number of page walks due to an instruction fetch that miss the PDE (Page Directory Entry) cache.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x85",
+ "EventName": "ITLB_MISSES.PDE_CACHE_MISS",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "2000003",
+ "Speculative": "1",
+ "UMask": "0x80"
+ },
+ {
+ "BriefDescription": "Counts the number of page walks completed due to instruction fetch misses to any page size.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x85",
+ "EventName": "ITLB_MISSES.WALK_COMPLETED",
+ "PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of page walks completed due to instruction fetches whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
+ "SampleAfterValue": "200003",
+ "Speculative": "1",
+ "UMask": "0xe"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to a DTLB miss.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3,4,5",
+ "EventCode": "0x05",
+ "EventName": "LD_HEAD.DTLB_MISS_AT_RET",
+ "PEBScounters": "0,1,2,3,4,5",
+ "SampleAfterValue": "1000003",
+ "Speculative": "1",
+ "UMask": "0x90"
+ }
+]
--
2.25.1


2022-11-18 08:29:55

by Xing Zhengjun

[permalink] [raw]
Subject: [PATCH 4/5] perf vendor events intel: Add metrics for Alderlake-N

From: Zhengjun Xing <[email protected]>

Add JSON metrics for Alderlake-N to perf.

It only included E-core metrics.

E-core metrics based on E-core TMA v2.2 (E-core_TMA_Metrics.csv)

It is downloaded from:
https://github.com/intel/perfmon/

Signed-off-by: Zhengjun Xing <[email protected]>
Reviewed-by: Kan Liang <[email protected]>
---
.../arch/x86/alderlaken/adln-metrics.json | 573 ++++++++++++++++++
1 file changed, 573 insertions(+)
create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/adln-metrics.json

diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/adln-metrics.json b/tools/perf/pmu-events/arch/x86/alderlaken/adln-metrics.json
new file mode 100644
index 000000000000..acafdc7cef2d
--- /dev/null
+++ b/tools/perf/pmu-events/arch/x86/alderlaken/adln-metrics.json
@@ -0,0 +1,573 @@
+[
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to frontend stalls.",
+ "MetricExpr": "TOPDOWN_FE_BOUND.ALL / SLOTS",
+ "MetricGroup": "TopdownL1",
+ "MetricName": "tma_frontend_bound",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to frontend bandwidth restrictions due to decode, predecode, cisc, and other limitations.",
+ "MetricExpr": "TOPDOWN_FE_BOUND.FRONTEND_LATENCY / SLOTS",
+ "MetricGroup": "TopdownL2;tma_frontend_bound_group",
+ "MetricName": "tma_frontend_latency",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to instruction cache misses.",
+ "MetricExpr": "TOPDOWN_FE_BOUND.ICACHE / SLOTS",
+ "MetricGroup": "TopdownL3;tma_frontend_latency_group",
+ "MetricName": "tma_icache",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to Instruction Table Lookaside Buffer (ITLB) misses.",
+ "MetricExpr": "TOPDOWN_FE_BOUND.ITLB / SLOTS",
+ "MetricGroup": "TopdownL3;tma_frontend_latency_group",
+ "MetricName": "tma_itlb",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to BACLEARS, which occurs when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend",
+ "MetricExpr": "TOPDOWN_FE_BOUND.BRANCH_DETECT / SLOTS",
+ "MetricGroup": "TopdownL3;tma_frontend_latency_group",
+ "MetricName": "tma_branch_detect",
+ "PublicDescription": "Counts the number of issue slots that were not delivered by the frontend due to BACLEARS, which occurs when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend. Includes BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to BTCLEARS, which occurs when the Branch Target Buffer (BTB) predicts a taken branch.",
+ "MetricExpr": "TOPDOWN_FE_BOUND.BRANCH_RESTEER / SLOTS",
+ "MetricGroup": "TopdownL3;tma_frontend_latency_group",
+ "MetricName": "tma_branch_resteer",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to frontend bandwidth restrictions due to decode, predecode, cisc, and other limitations.",
+ "MetricExpr": "TOPDOWN_FE_BOUND.FRONTEND_BANDWIDTH / SLOTS",
+ "MetricGroup": "TopdownL2;tma_frontend_bound_group",
+ "MetricName": "tma_frontend_bandwidth",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to the microcode sequencer (MS).",
+ "MetricExpr": "TOPDOWN_FE_BOUND.CISC / SLOTS",
+ "MetricGroup": "TopdownL3;tma_frontend_bandwidth_group",
+ "MetricName": "tma_cisc",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to decode stalls.",
+ "MetricExpr": "TOPDOWN_FE_BOUND.DECODE / SLOTS",
+ "MetricGroup": "TopdownL3;tma_frontend_bandwidth_group",
+ "MetricName": "tma_decode",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to wrong predecodes.",
+ "MetricExpr": "TOPDOWN_FE_BOUND.PREDECODE / SLOTS",
+ "MetricGroup": "TopdownL3;tma_frontend_bandwidth_group",
+ "MetricName": "tma_predecode",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not delivered by the frontend due to other common frontend stalls not categorized.",
+ "MetricExpr": "TOPDOWN_FE_BOUND.OTHER / SLOTS",
+ "MetricGroup": "TopdownL3;tma_frontend_bandwidth_group",
+ "MetricName": "tma_other_fb",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a mispredicted jump or a machine clear",
+ "MetricExpr": "(SLOTS - (TOPDOWN_FE_BOUND.ALL + TOPDOWN_BE_BOUND.ALL + TOPDOWN_RETIRING.ALL)) / SLOTS",
+ "MetricGroup": "TopdownL1",
+ "MetricName": "tma_bad_speculation",
+ "PublicDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a mispredicted jump or a machine clear. Only issue slots wasted due to fast nukes such as memory ordering nukes are counted. Other nukes are not accounted for. Counts all issue slots blocked during this recovery window including relevant microcode flows and while uops are not yet available in the instruction queue (IQ). Also includes the issue slots that were consumed by the backend but were thrown away because they were younger than the mispredict or machine clear.",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to branch mispredicts.",
+ "MetricExpr": "TOPDOWN_BAD_SPECULATION.MISPREDICT / SLOTS",
+ "MetricGroup": "TopdownL2;tma_bad_speculation_group",
+ "MetricName": "tma_branch_mispredicts",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a machine clear (nuke) of any kind including memory ordering and memory disambiguation.",
+ "MetricExpr": "TOPDOWN_BAD_SPECULATION.MACHINE_CLEARS / SLOTS",
+ "MetricGroup": "TopdownL2;tma_bad_speculation_group",
+ "MetricName": "tma_machine_clears",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to a machine clear (slow nuke).",
+ "MetricExpr": "TOPDOWN_BAD_SPECULATION.NUKE / SLOTS",
+ "MetricGroup": "TopdownL3;tma_machine_clears_group",
+ "MetricName": "tma_nuke",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears relative to the number of nuke slots due to SMC. ",
+ "MetricExpr": "tma_nuke * (MACHINE_CLEARS.SMC / MACHINE_CLEARS.SLOW)",
+ "MetricGroup": "TopdownL4;tma_nuke_group",
+ "MetricName": "tma_smc",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears relative to the number of nuke slots due to memory ordering. ",
+ "MetricExpr": "tma_nuke * (MACHINE_CLEARS.MEMORY_ORDERING / MACHINE_CLEARS.SLOW)",
+ "MetricGroup": "TopdownL4;tma_nuke_group",
+ "MetricName": "tma_memory_ordering",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears relative to the number of nuke slots due to FP assists. ",
+ "MetricExpr": "tma_nuke * (MACHINE_CLEARS.FP_ASSIST / MACHINE_CLEARS.SLOW)",
+ "MetricGroup": "TopdownL4;tma_nuke_group",
+ "MetricName": "tma_fp_assist",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears relative to the number of nuke slots due to memory disambiguation. ",
+ "MetricExpr": "tma_nuke * (MACHINE_CLEARS.DISAMBIGUATION / MACHINE_CLEARS.SLOW)",
+ "MetricGroup": "TopdownL4;tma_nuke_group",
+ "MetricName": "tma_disambiguation",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of machine clears relative to the number of nuke slots due to page faults. ",
+ "MetricExpr": "tma_nuke * (MACHINE_CLEARS.PAGE_FAULT / MACHINE_CLEARS.SLOW)",
+ "MetricGroup": "TopdownL4;tma_nuke_group",
+ "MetricName": "tma_page_fault",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to a machine clear classified as a fast nuke due to memory ordering, memory disambiguation and memory renaming.",
+ "MetricExpr": "TOPDOWN_BAD_SPECULATION.FASTNUKE / SLOTS",
+ "MetricGroup": "TopdownL3;tma_machine_clears_group",
+ "MetricName": "tma_fast_nuke",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend due to backend stalls",
+ "MetricExpr": "TOPDOWN_BE_BOUND.ALL / SLOTS",
+ "MetricGroup": "TopdownL1",
+ "MetricName": "tma_backend_bound",
+ "PublicDescription": "Counts the total number of issue slots that were not consumed by the backend due to backend stalls. Note that uops must be available for consumption in order for this event to count. If a uop is not available (IQ is empty), this event will not count. The rest of these subevents count backend stalls, in cycles, due to an outstanding request which is memory bound vs core bound. The subevents are not slot based events and therefore can not be precisely added or subtracted from the Backend_Bound_Aux subevents which are slot based.",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles due to backend bound stalls that are core execution bound and not attributed to outstanding demand load or store stalls. ",
+ "MetricExpr": "max(0, tma_backend_bound - tma_load_store_bound)",
+ "MetricGroup": "TopdownL2;tma_backend_bound_group",
+ "MetricName": "tma_core_bound",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to stores or loads. ",
+ "MetricExpr": "min((TOPDOWN_BE_BOUND.ALL / SLOTS), (LD_HEAD.ANY_AT_RET / CLKS) + tma_store_bound)",
+ "MetricGroup": "TopdownL2;tma_backend_bound_group",
+ "MetricName": "tma_load_store_bound",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to store buffer full.",
+ "MetricExpr": "tma_mem_scheduler * (MEM_SCHEDULER_BLOCK.ST_BUF / MEM_SCHEDULER_BLOCK.ALL)",
+ "MetricGroup": "TopdownL3;tma_load_store_bound_group",
+ "MetricName": "tma_store_bound",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the oldest load of the load buffer is stalled at retirement due to a load block.",
+ "MetricExpr": "LD_HEAD.L1_BOUND_AT_RET / CLKS",
+ "MetricGroup": "TopdownL3;tma_load_store_bound_group",
+ "MetricName": "tma_l1_bound",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the oldest load of the load buffer is stalled at retirement due to a store forward block.",
+ "MetricExpr": "LD_HEAD.ST_ADDR_AT_RET / CLKS",
+ "MetricGroup": "TopdownL4;tma_l1_bound_group",
+ "MetricName": "tma_store_fwd",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the oldest load of the load buffer is stalled at retirement due to a first level TLB miss.",
+ "MetricExpr": "LD_HEAD.DTLB_MISS_AT_RET / CLKS",
+ "MetricGroup": "TopdownL4;tma_l1_bound_group",
+ "MetricName": "tma_stlb_hit",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the oldest load of the load buffer is stalled at retirement due to a second level TLB miss requiring a page walk.",
+ "MetricExpr": "LD_HEAD.PGWALK_AT_RET / CLKS",
+ "MetricGroup": "TopdownL4;tma_l1_bound_group",
+ "MetricName": "tma_stlb_miss",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles that the oldest load of the load buffer is stalled at retirement due to a number of other load blocks.",
+ "MetricExpr": "LD_HEAD.OTHER_AT_RET / CLKS",
+ "MetricGroup": "TopdownL4;tma_l1_bound_group",
+ "MetricName": "tma_other_l1",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles a core is stalled due to a demand load which hit in the L2 Cache.",
+ "MetricExpr": "(MEM_BOUND_STALLS.LOAD_L2_HIT / CLKS) - (MEM_BOUND_STALLS_AT_RET_CORRECTION * MEM_BOUND_STALLS.LOAD_L2_HIT / MEM_BOUND_STALLS.LOAD)",
+ "MetricGroup": "TopdownL3;tma_load_store_bound_group",
+ "MetricName": "tma_l2_bound",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles a core is stalled due to a demand load which hit in the Last Level Cache (LLC) or other core with HITE/F/M.",
+ "MetricExpr": "(MEM_BOUND_STALLS.LOAD_LLC_HIT / CLKS) - (MEM_BOUND_STALLS_AT_RET_CORRECTION * MEM_BOUND_STALLS.LOAD_LLC_HIT / MEM_BOUND_STALLS.LOAD)",
+ "MetricGroup": "TopdownL3;tma_load_store_bound_group",
+ "MetricName": "tma_l3_bound",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load miss which hit in DRAM or MMIO (Non-DRAM).",
+ "MetricExpr": "(MEM_BOUND_STALLS.LOAD_DRAM_HIT / CLKS) - (MEM_BOUND_STALLS_AT_RET_CORRECTION * MEM_BOUND_STALLS.LOAD_DRAM_HIT / MEM_BOUND_STALLS.LOAD)",
+ "MetricGroup": "TopdownL3;tma_load_store_bound_group",
+ "MetricName": "tma_dram_bound",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load miss which hits in the L2, LLC, DRAM or MMIO (Non-DRAM) but could not be correctly attributed or cycles in which the load miss is waiting on a request buffer.",
+ "MetricExpr": "max(0, tma_load_store_bound - (tma_store_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_dram_bound))",
+ "MetricGroup": "TopdownL3;tma_load_store_bound_group",
+ "MetricName": "tma_other_load_store",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend due to backend stalls",
+ "MetricExpr": "tma_backend_bound",
+ "MetricGroup": "TopdownL1",
+ "MetricName": "tma_backend_bound_aux",
+ "PublicDescription": "Counts the total number of issue slots that were not consumed by the backend due to backend stalls. Note that UOPS must be available for consumption in order for this event to count. If a uop is not available (IQ is empty), this event will not count. All of these subevents count backend stalls, in slots, due to a resource limitation. These are not cycle based events and therefore can not be precisely added or subtracted from the Backend_Bound subevents which are cycle based. These subevents are supplementary to Backend_Bound and can be used to analyze results from a resource perspective at allocation. ",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend due to backend stalls",
+ "MetricExpr": "tma_backend_bound",
+ "MetricGroup": "TopdownL2;tma_backend_bound_aux_group",
+ "MetricName": "tma_resource_bound",
+ "PublicDescription": "Counts the total number of issue slots that were not consumed by the backend due to backend stalls. Note that uops must be available for consumption in order for this event to count. If a uop is not available (IQ is empty), this event will not count. ",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to memory reservation stalls in which a scheduler is not able to accept uops.",
+ "MetricExpr": "TOPDOWN_BE_BOUND.MEM_SCHEDULER / SLOTS",
+ "MetricGroup": "TopdownL3;tma_resource_bound_group",
+ "MetricName": "tma_mem_scheduler",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles, relative to the number of mem_scheduler slots, in which uops are blocked due to store buffer full",
+ "MetricExpr": "tma_mem_scheduler * (MEM_SCHEDULER_BLOCK.ST_BUF / MEM_SCHEDULER_BLOCK.ALL)",
+ "MetricGroup": "TopdownL4;tma_mem_scheduler_group",
+ "MetricName": "tma_st_buffer",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles, relative to the number of mem_scheduler slots, in which uops are blocked due to load buffer full",
+ "MetricExpr": "tma_mem_scheduler * MEM_SCHEDULER_BLOCK.LD_BUF / MEM_SCHEDULER_BLOCK.ALL",
+ "MetricGroup": "TopdownL4;tma_mem_scheduler_group",
+ "MetricName": "tma_ld_buffer",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of cycles, relative to the number of mem_scheduler slots, in which uops are blocked due to RSV full relative ",
+ "MetricExpr": "tma_mem_scheduler * MEM_SCHEDULER_BLOCK.RSV / MEM_SCHEDULER_BLOCK.ALL",
+ "MetricGroup": "TopdownL4;tma_mem_scheduler_group",
+ "MetricName": "tma_rsv",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to IEC or FPC RAT stalls, which can be due to FIQ or IEC reservation stalls in which the integer, floating point or SIMD scheduler is not able to accept uops.",
+ "MetricExpr": "TOPDOWN_BE_BOUND.NON_MEM_SCHEDULER / SLOTS",
+ "MetricGroup": "TopdownL3;tma_resource_bound_group",
+ "MetricName": "tma_non_mem_scheduler",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to the physical register file unable to accept an entry (marble stalls).",
+ "MetricExpr": "TOPDOWN_BE_BOUND.REGISTER / SLOTS",
+ "MetricGroup": "TopdownL3;tma_resource_bound_group",
+ "MetricName": "tma_register",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to the reorder buffer being full (ROB stalls).",
+ "MetricExpr": "TOPDOWN_BE_BOUND.REORDER_BUFFER / SLOTS",
+ "MetricGroup": "TopdownL3;tma_resource_bound_group",
+ "MetricName": "tma_reorder_buffer",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to certain allocation restrictions.",
+ "MetricExpr": "TOPDOWN_BE_BOUND.ALLOC_RESTRICTIONS / SLOTS",
+ "MetricGroup": "TopdownL3;tma_resource_bound_group",
+ "MetricName": "tma_alloc_restriction",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of issue slots that were not consumed by the backend due to scoreboards from the instruction queue (IQ), jump execution unit (JEU), or microcode sequencer (MS).",
+ "MetricExpr": "TOPDOWN_BE_BOUND.SERIALIZATION / SLOTS",
+ "MetricGroup": "TopdownL3;tma_resource_bound_group",
+ "MetricName": "tma_serialization",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the numer of issue slots that result in retirement slots. ",
+ "MetricExpr": "TOPDOWN_RETIRING.ALL / SLOTS",
+ "MetricGroup": "TopdownL1",
+ "MetricName": "tma_retiring",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of uops that are not from the microsequencer. ",
+ "MetricExpr": "(TOPDOWN_RETIRING.ALL - UOPS_RETIRED.MS) / SLOTS",
+ "MetricGroup": "TopdownL2;tma_retiring_group",
+ "MetricName": "tma_base",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of floating point operations per uop with all default weighting.",
+ "MetricExpr": "UOPS_RETIRED.FPDIV / SLOTS",
+ "MetricGroup": "TopdownL3;tma_base_group",
+ "MetricName": "tma_fp_uops",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of uops retired excluding ms and fp div uops.",
+ "MetricExpr": "(TOPDOWN_RETIRING.ALL - UOPS_RETIRED.MS - UOPS_RETIRED.FPDIV) / SLOTS",
+ "MetricGroup": "TopdownL3;tma_base_group",
+ "MetricName": "tma_other_ret",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "Counts the number of uops that are from the complex flows issued by the micro-sequencer (MS)",
+ "MetricExpr": "UOPS_RETIRED.MS / SLOTS",
+ "MetricGroup": "TopdownL2;tma_retiring_group",
+ "MetricName": "tma_ms_uops",
+ "PublicDescription": "Counts the number of uops that are from the complex flows issued by the micro-sequencer (MS). This includes uops from flows due to complex instructions, faults, assists, and inserted flows.",
+ "ScaleUnit": "100%"
+ },
+ {
+ "BriefDescription": "",
+ "MetricExpr": "CPU_CLK_UNHALTED.CORE",
+ "MetricName": "CLKS"
+ },
+ {
+ "BriefDescription": "",
+ "MetricExpr": "CPU_CLK_UNHALTED.CORE_P",
+ "MetricName": "CLKS_P"
+ },
+ {
+ "BriefDescription": "",
+ "MetricExpr": "5 * CLKS",
+ "MetricName": "SLOTS"
+ },
+ {
+ "BriefDescription": "Instructions Per Cycle",
+ "MetricExpr": "INST_RETIRED.ANY / CLKS",
+ "MetricName": "IPC"
+ },
+ {
+ "BriefDescription": "Cycles Per Instruction",
+ "MetricExpr": "CLKS / INST_RETIRED.ANY",
+ "MetricName": "CPI"
+ },
+ {
+ "BriefDescription": "Uops Per Instruction",
+ "MetricExpr": "UOPS_RETIRED.ALL / INST_RETIRED.ANY",
+ "MetricName": "UPI"
+ },
+ {
+ "BriefDescription": "Percentage of total non-speculative loads with a store forward or unknown store address block",
+ "MetricExpr": "100 * LD_BLOCKS.DATA_UNKNOWN / MEM_UOPS_RETIRED.ALL_LOADS",
+ "MetricName": "Store_Fwd_Blocks"
+ },
+ {
+ "BriefDescription": "Percentage of total non-speculative loads with a address aliasing block",
+ "MetricExpr": "100 * LD_BLOCKS.4K_ALIAS / MEM_UOPS_RETIRED.ALL_LOADS",
+ "MetricName": "Address_Alias_Blocks"
+ },
+ {
+ "BriefDescription": "Percentage of total non-speculative loads that are splits",
+ "MetricExpr": "100 * MEM_UOPS_RETIRED.SPLIT_LOADS / MEM_UOPS_RETIRED.ALL_LOADS",
+ "MetricName": "Load_Splits"
+ },
+ {
+ "BriefDescription": "Instructions per Branch (lower number means higher occurrence rate)",
+ "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.ALL_BRANCHES",
+ "MetricName": "IpBranch"
+ },
+ {
+ "BriefDescription": "Instruction per (near) call (lower number means higher occurrence rate)",
+ "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.CALL",
+ "MetricName": "IpCall"
+ },
+ {
+ "BriefDescription": "Instructions per Load",
+ "MetricExpr": "INST_RETIRED.ANY / MEM_UOPS_RETIRED.ALL_LOADS",
+ "MetricName": "IpLoad"
+ },
+ {
+ "BriefDescription": "Instructions per Store",
+ "MetricExpr": "INST_RETIRED.ANY / MEM_UOPS_RETIRED.ALL_STORES",
+ "MetricName": "IpStore"
+ },
+ {
+ "BriefDescription": "Number of Instructions per non-speculative Branch Misprediction",
+ "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.ALL_BRANCHES",
+ "MetricName": "IpMispredict"
+ },
+ {
+ "BriefDescription": "Instructions per Far Branch",
+ "MetricExpr": "INST_RETIRED.ANY / (BR_INST_RETIRED.FAR_BRANCH / 2)",
+ "MetricName": "IpFarBranch"
+ },
+ {
+ "BriefDescription": "Ratio of all branches which mispredict",
+ "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / BR_INST_RETIRED.ALL_BRANCHES",
+ "MetricName": "Branch_Mispredict_Ratio"
+ },
+ {
+ "BriefDescription": "Ratio between Mispredicted branches and unknown branches",
+ "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / BACLEARS.ANY",
+ "MetricName": "Branch_Mispredict_to_Unknown_Branch_Ratio"
+ },
+ {
+ "BriefDescription": "Percentage of all uops which are ucode ops",
+ "MetricExpr": "100 * UOPS_RETIRED.MS / UOPS_RETIRED.ALL",
+ "MetricName": "Microcode_Uop_Ratio"
+ },
+ {
+ "BriefDescription": "Percentage of all uops which are FPDiv uops",
+ "MetricExpr": "100 * UOPS_RETIRED.FPDIV / UOPS_RETIRED.ALL",
+ "MetricName": "FPDiv_Uop_Ratio"
+ },
+ {
+ "BriefDescription": "Percentage of all uops which are IDiv uops",
+ "MetricExpr": "100 * UOPS_RETIRED.IDIV / UOPS_RETIRED.ALL",
+ "MetricName": "IDiv_Uop_Ratio"
+ },
+ {
+ "BriefDescription": "Percentage of all uops which are x87 uops",
+ "MetricExpr": "100 * UOPS_RETIRED.X87 / UOPS_RETIRED.ALL",
+ "MetricName": "X87_Uop_Ratio"
+ },
+ {
+ "BriefDescription": "Average Frequency Utilization relative nominal frequency",
+ "MetricExpr": "CLKS / CPU_CLK_UNHALTED.REF_TSC",
+ "MetricName": "Turbo_Utilization"
+ },
+ {
+ "BriefDescription": "Fraction of cycles spent in Kernel mode",
+ "MetricExpr": "cpu@CPU_CLK_UNHALTED.CORE@k / CPU_CLK_UNHALTED.CORE",
+ "MetricName": "Kernel_Utilization"
+ },
+ {
+ "BriefDescription": "Average CPU Utilization",
+ "MetricExpr": "CPU_CLK_UNHALTED.REF_TSC / msr@tsc@",
+ "MetricName": "CPU_Utilization"
+ },
+ {
+ "BriefDescription": "Cycle cost per L2 hit",
+ "MetricExpr": "MEM_BOUND_STALLS.LOAD_L2_HIT / MEM_LOAD_UOPS_RETIRED.L2_HIT",
+ "MetricName": "Cycles_per_Demand_Load_L2_Hit"
+ },
+ {
+ "BriefDescription": "Cycle cost per LLC hit",
+ "MetricExpr": "MEM_BOUND_STALLS.LOAD_LLC_HIT / MEM_LOAD_UOPS_RETIRED.L3_HIT",
+ "MetricName": "Cycles_per_Demand_Load_L3_Hit"
+ },
+ {
+ "BriefDescription": "Cycle cost per DRAM hit",
+ "MetricExpr": "MEM_BOUND_STALLS.LOAD_DRAM_HIT / MEM_LOAD_UOPS_RETIRED.DRAM_HIT",
+ "MetricName": "Cycles_per_Demand_Load_DRAM_Hit"
+ },
+ {
+ "BriefDescription": "Percent of instruction miss cost that hit in the L2",
+ "MetricExpr": "100 * MEM_BOUND_STALLS.IFETCH_L2_HIT / (MEM_BOUND_STALLS.IFETCH)",
+ "MetricName": "Inst_Miss_Cost_L2Hit_Percent"
+ },
+ {
+ "BriefDescription": "Percent of instruction miss cost that hit in the L3",
+ "MetricExpr": "100 * MEM_BOUND_STALLS.IFETCH_LLC_HIT / (MEM_BOUND_STALLS.IFETCH)",
+ "MetricName": "Inst_Miss_Cost_L3Hit_Percent"
+ },
+ {
+ "BriefDescription": "Percent of instruction miss cost that hit in DRAM",
+ "MetricExpr": "100 * MEM_BOUND_STALLS.IFETCH_DRAM_HIT / (MEM_BOUND_STALLS.IFETCH)",
+ "MetricName": "Inst_Miss_Cost_DRAMHit_Percent"
+ },
+ {
+ "BriefDescription": "load ops retired per 1000 instruction",
+ "MetricExpr": "1000 * MEM_UOPS_RETIRED.ALL_LOADS / INST_RETIRED.ANY",
+ "MetricName": "MemLoadPKI"
+ },
+ {
+ "BriefDescription": "C1 residency percent per core",
+ "MetricExpr": "(cstate_core@c1\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C1_Core_Residency"
+ },
+ {
+ "BriefDescription": "C6 residency percent per core",
+ "MetricExpr": "(cstate_core@c6\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C6_Core_Residency"
+ },
+ {
+ "BriefDescription": "C7 residency percent per core",
+ "MetricExpr": "(cstate_core@c7\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C7_Core_Residency"
+ },
+ {
+ "BriefDescription": "C2 residency percent per package",
+ "MetricExpr": "(cstate_pkg@c2\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C2_Pkg_Residency"
+ },
+ {
+ "BriefDescription": "C3 residency percent per package",
+ "MetricExpr": "(cstate_pkg@c3\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C3_Pkg_Residency"
+ },
+ {
+ "BriefDescription": "C6 residency percent per package",
+ "MetricExpr": "(cstate_pkg@c6\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C6_Pkg_Residency"
+ },
+ {
+ "BriefDescription": "C7 residency percent per package",
+ "MetricExpr": "(cstate_pkg@c7\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C7_Pkg_Residency"
+ },
+ {
+ "BriefDescription": "C8 residency percent per package",
+ "MetricExpr": "(cstate_pkg@c8\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C8_Pkg_Residency"
+ },
+ {
+ "BriefDescription": "C9 residency percent per package",
+ "MetricExpr": "(cstate_pkg@c9\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C9_Pkg_Residency"
+ },
+ {
+ "BriefDescription": "C10 residency percent per package",
+ "MetricExpr": "(cstate_pkg@c10\\-residency@ / msr@tsc@) * 100",
+ "MetricGroup": "Power",
+ "MetricName": "C10_Pkg_Residency"
+ }
+]
--
2.25.1


2022-11-18 08:44:10

by Xing Zhengjun

[permalink] [raw]
Subject: [PATCH 5/5] perf vendor events intel: Update events for alderlake

From: Zhengjun Xing <[email protected]>

Update JSON core/uncore events for alderlake to perf.

Based on ADL JSON event list v1.16:

https://github.com/intel/perfmon/tree/main/ADL/events

Signed-off-by: Zhengjun Xing <[email protected]>
Reviewed-by: Kan Liang <[email protected]>
---
.../pmu-events/arch/x86/alderlake/cache.json | 91 ++++++++++++--
.../arch/x86/alderlake/floating-point.json | 8 ++
.../arch/x86/alderlake/frontend.json | 36 ++++++
.../pmu-events/arch/x86/alderlake/memory.json | 37 ++++++
.../pmu-events/arch/x86/alderlake/other.json | 4 +
.../arch/x86/alderlake/pipeline.json | 116 ++++++++++++++++++
.../arch/x86/alderlake/uncore-other.json | 65 ++++++++--
.../arch/x86/alderlake/virtual-memory.json | 23 ++++
8 files changed, 362 insertions(+), 18 deletions(-)

diff --git a/tools/perf/pmu-events/arch/x86/alderlake/cache.json b/tools/perf/pmu-events/arch/x86/alderlake/cache.json
index 2cc62d2779d2..10a3fdc20874 100644
--- a/tools/perf/pmu-events/arch/x86/alderlake/cache.json
+++ b/tools/perf/pmu-events/arch/x86/alderlake/cache.json
@@ -6,6 +6,7 @@
"EventCode": "0x2e",
"EventName": "LONGEST_LAT_CACHE.MISS",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cacheable memory requests that miss in the Last Level Cache (LLC). Requests include demand loads, reads for ownership (RFO), instruction fetches and L1 HW prefetches. If the platform has an L3 cache, the LLC is the L3 cache, otherwise it is the L2 cache. Counts on a per core basis.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x41",
@@ -18,6 +19,7 @@
"EventCode": "0x2e",
"EventName": "LONGEST_LAT_CACHE.REFERENCE",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cacheable memory requests that access the Last Level Cache (LLC). Requests include demand loads, reads for ownership (RFO), instruction fetches and L1 HW prefetches. If the platform has an L3 cache, the LLC is the L3 cache, otherwise it is the L2 cache. Counts on a per core basis.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x4f",
@@ -30,6 +32,7 @@
"EventCode": "0x34",
"EventName": "MEM_BOUND_STALLS.IFETCH",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or translation lookaside buffer (TLB) miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x38",
@@ -42,6 +45,7 @@
"EventCode": "0x34",
"EventName": "MEM_BOUND_STALLS.IFETCH_DRAM_HIT",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or translation lookaside buffer (TLB) miss which hit in DRAM or MMIO (non-DRAM).",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x20",
@@ -54,6 +58,7 @@
"EventCode": "0x34",
"EventName": "MEM_BOUND_STALLS.IFETCH_L2_HIT",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or Translation Lookaside Buffer (TLB) miss which hit in the L2 cache.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x8",
@@ -66,6 +71,7 @@
"EventCode": "0x34",
"EventName": "MEM_BOUND_STALLS.IFETCH_LLC_HIT",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or Translation Lookaside Buffer (TLB) miss which hit in the Last Level Cache (LLC) or other core with HITE/F/M.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x10",
@@ -114,6 +120,7 @@
"EventCode": "0x34",
"EventName": "MEM_BOUND_STALLS.LOAD_LLC_HIT",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the Last Level Cache (LLC) or other core with HITE/F/M.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x2",
@@ -215,6 +222,7 @@
"EventName": "MEM_UOPS_RETIRED.ALL_LOADS",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of load uops retired.",
"SampleAfterValue": "200003",
"UMask": "0x81",
"Unit": "cpu_atom"
@@ -228,6 +236,7 @@
"EventName": "MEM_UOPS_RETIRED.ALL_STORES",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of store uops retired.",
"SampleAfterValue": "200003",
"UMask": "0x82",
"Unit": "cpu_atom"
@@ -243,7 +252,7 @@
"MSRIndex": "0x3F6",
"MSRValue": "0x80",
"PEBS": "2",
- "PEBScounters": "0,1",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 128 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
"SampleAfterValue": "1000003",
"TakenAlone": "1",
"UMask": "0x5",
@@ -260,7 +269,7 @@
"MSRIndex": "0x3F6",
"MSRValue": "0x10",
"PEBS": "2",
- "PEBScounters": "0,1",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 16 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
"SampleAfterValue": "1000003",
"TakenAlone": "1",
"UMask": "0x5",
@@ -277,7 +286,7 @@
"MSRIndex": "0x3F6",
"MSRValue": "0x100",
"PEBS": "2",
- "PEBScounters": "0,1",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 256 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
"SampleAfterValue": "1000003",
"TakenAlone": "1",
"UMask": "0x5",
@@ -294,7 +303,7 @@
"MSRIndex": "0x3F6",
"MSRValue": "0x20",
"PEBS": "2",
- "PEBScounters": "0,1",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 32 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
"SampleAfterValue": "1000003",
"TakenAlone": "1",
"UMask": "0x5",
@@ -311,7 +320,7 @@
"MSRIndex": "0x3F6",
"MSRValue": "0x4",
"PEBS": "2",
- "PEBScounters": "0,1",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 4 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
"SampleAfterValue": "1000003",
"TakenAlone": "1",
"UMask": "0x5",
@@ -328,7 +337,7 @@
"MSRIndex": "0x3F6",
"MSRValue": "0x200",
"PEBS": "2",
- "PEBScounters": "0,1",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 512 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
"SampleAfterValue": "1000003",
"TakenAlone": "1",
"UMask": "0x5",
@@ -345,7 +354,7 @@
"MSRIndex": "0x3F6",
"MSRValue": "0x40",
"PEBS": "2",
- "PEBScounters": "0,1",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 64 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
"SampleAfterValue": "1000003",
"TakenAlone": "1",
"UMask": "0x5",
@@ -362,7 +371,7 @@
"MSRIndex": "0x3F6",
"MSRValue": "0x8",
"PEBS": "2",
- "PEBScounters": "0,1",
+ "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 8 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
"SampleAfterValue": "1000003",
"TakenAlone": "1",
"UMask": "0x5",
@@ -391,6 +400,7 @@
"L1_Hit_Indication": "1",
"PEBS": "2",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of stores uops retired. Counts with or without PEBS enabled. If PEBS is enabled and a PEBS record is generated, will populate PEBS Latency and PEBS Data Source fields accordingly.",
"SampleAfterValue": "1000003",
"UMask": "0x6",
"Unit": "cpu_atom"
@@ -492,6 +502,7 @@
"EventCode": "0x51",
"EventName": "L1D.REPLACEMENT",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts L1D data line replacements including opportunistic replacements, and replacements that require stall-for-replace or block-for-replace.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x1",
@@ -504,13 +515,14 @@
"EventCode": "0x48",
"EventName": "L1D_PEND_MISS.FB_FULL",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts number of cycles a demand request has waited due to L1D Fill Buffer (FB) unavailability. Demand requests include cacheable/uncacheable demand load, store, lock or SW prefetch accesses.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x2",
"Unit": "cpu_core"
},
{
- "BriefDescription": "Number of phases a demand request has waited due to L1D Fill Buffer (FB) unavailablability.",
+ "BriefDescription": "Number of phases a demand request has waited due to L1D Fill Buffer (FB) unavailability.",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3",
"CounterMask": "1",
@@ -518,6 +530,7 @@
"EventCode": "0x48",
"EventName": "L1D_PEND_MISS.FB_FULL_PERIODS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts number of phases a demand request has waited due to L1D Fill Buffer (FB) unavailability. Demand requests include cacheable/uncacheable demand load, store, lock or SW prefetch accesses.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x2",
@@ -527,6 +540,7 @@
"BriefDescription": "This event is deprecated. Refer to new event L1D_PEND_MISS.L2_STALLS",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3",
+ "Deprecated": "1",
"EventCode": "0x48",
"EventName": "L1D_PEND_MISS.L2_STALL",
"PEBScounters": "0,1,2,3",
@@ -542,6 +556,7 @@
"EventCode": "0x48",
"EventName": "L1D_PEND_MISS.L2_STALLS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts number of cycles a demand request has waited due to L1D due to lack of L2 resources. Demand requests include cacheable/uncacheable demand load, store, lock or SW prefetch accesses.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x4",
@@ -554,6 +569,7 @@
"EventCode": "0x48",
"EventName": "L1D_PEND_MISS.PENDING",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts number of L1D misses that are outstanding in each cycle, that is each cycle the number of Fill Buffers (FB) outstanding required by Demand Reads. FB either is held by demand loads, or it is held by non-demand loads and gets hit at least once by demand. The valid outstanding interval is defined until the FB deallocation by one of the following ways: from FB allocation, if FB is allocated by demand from the demand Hit FB, if it is allocated by hardware or software prefetch. Note: In the L1D, a Demand Read contains cacheable or noncacheable demand loads, including ones causing cache-line splits and reads due to page walks resulted from any request type.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x1",
@@ -567,6 +583,7 @@
"EventCode": "0x48",
"EventName": "L1D_PEND_MISS.PENDING_CYCLES",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts duration of L1D miss outstanding in cycles.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x1",
@@ -579,6 +596,7 @@
"EventCode": "0x25",
"EventName": "L2_LINES_IN.ALL",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of L2 cache lines filling the L2. Counting does not cover rejects.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x1f",
@@ -591,6 +609,7 @@
"EventCode": "0x26",
"EventName": "L2_LINES_OUT.USELESS_HWPF",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of cache lines that have been prefetched by the L2 hardware prefetcher but not used by demand access when evicted from the L2 cache",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x4",
@@ -603,6 +622,7 @@
"EventCode": "0x24",
"EventName": "L2_REQUEST.ALL",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts all requests that were hit or true misses in L2 cache. True-miss excludes misses that were merged with ongoing L2 misses.[This event is alias to L2_RQSTS.REFERENCES]",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xff",
@@ -615,6 +635,7 @@
"EventCode": "0x24",
"EventName": "L2_REQUEST.MISS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts read requests of any type with true-miss in the L2 cache. True-miss excludes L2 misses that were merged with ongoing L2 misses.[This event is alias to L2_RQSTS.MISS]",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x3f",
@@ -627,6 +648,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.ALL_CODE_RD",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the total number of L2 code requests.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xe4",
@@ -639,6 +661,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.ALL_DEMAND_DATA_RD",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts Demand Data Read requests accessing the L2 cache. These requests may hit or miss L2 cache. True-miss exclude misses that were merged with ongoing L2 misses. An access is counted once.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xe1",
@@ -651,6 +674,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.ALL_DEMAND_MISS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts demand requests that miss L2 cache.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x27",
@@ -675,6 +699,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.ALL_RFO",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the total number of RFO (read for ownership) requests to L2 cache. L2 RFO requests include both L1D demand RFO misses as well as L1D RFO prefetches.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xe2",
@@ -687,6 +712,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.CODE_RD_HIT",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts L2 cache hits when fetching instructions, code reads.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xc4",
@@ -699,6 +725,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.CODE_RD_MISS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts L2 cache misses when fetching instructions.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x24",
@@ -711,6 +738,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.DEMAND_DATA_RD_HIT",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of demand Data Read requests initiated by load instructions that hit L2 cache.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xc1",
@@ -723,6 +751,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.DEMAND_DATA_RD_MISS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts demand Data Read requests with true-miss in the L2 cache. True-miss excludes misses that were merged with ongoing L2 misses. An access is counted once.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x21",
@@ -747,6 +776,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.MISS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts read requests of any type with true-miss in the L2 cache. True-miss excludes L2 misses that were merged with ongoing L2 misses.[This event is alias to L2_REQUEST.MISS]",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x3f",
@@ -759,6 +789,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.REFERENCES",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts all requests that were hit or true misses in L2 cache. True-miss excludes misses that were merged with ongoing L2 misses.[This event is alias to L2_REQUEST.ALL]",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xff",
@@ -771,6 +802,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.RFO_HIT",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the RFO (Read-for-Ownership) requests that hit L2 cache.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xc2",
@@ -783,6 +815,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.RFO_MISS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the RFO (Read-for-Ownership) requests that miss L2 cache.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x22",
@@ -795,6 +828,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.SWPF_HIT",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts Software prefetch requests that hit the L2 cache. Accounts for PREFETCHNTA and PREFETCHT0/1/2 instructions when FB is not full.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xc8",
@@ -807,6 +841,7 @@
"EventCode": "0x24",
"EventName": "L2_RQSTS.SWPF_MISS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts Software prefetch requests that miss the L2 cache. Accounts for PREFETCHNTA and PREFETCHT0/1/2 instructions when FB is not full.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x28",
@@ -819,6 +854,7 @@
"EventCode": "0x2e",
"EventName": "LONGEST_LAT_CACHE.MISS",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts core-originated cacheable requests that miss the L3 cache (Longest Latency cache). Requests include data and code reads, Reads-for-Ownership (RFOs), speculative accesses and hardware prefetches to the L1 and L2. It does not include hardware prefetches to the L3, and may not count other types of requests to the L3.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x41",
@@ -831,6 +867,7 @@
"EventCode": "0x2e",
"EventName": "LONGEST_LAT_CACHE.REFERENCE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts core-originated cacheable requests to the L3 cache (Longest Latency cache). Requests include data and code reads, Reads-for-Ownership (RFOs), speculative accesses and hardware prefetches to the L1 and L2. It does not include hardware prefetches to the L3, and may not count other types of requests to the L3.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x4f",
@@ -845,6 +882,7 @@
"EventName": "MEM_INST_RETIRED.ALL_LOADS",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts all retired load instructions. This event accounts for SW prefetch instructions of PREFETCHNTA or PREFETCHT0/1/2 or PREFETCHW.",
"SampleAfterValue": "1000003",
"UMask": "0x81",
"Unit": "cpu_core"
@@ -859,6 +897,7 @@
"L1_Hit_Indication": "1",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts all retired store instructions.",
"SampleAfterValue": "1000003",
"UMask": "0x82",
"Unit": "cpu_core"
@@ -873,6 +912,7 @@
"L1_Hit_Indication": "1",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts all retired memory instructions - loads and stores.",
"SampleAfterValue": "1000003",
"UMask": "0x83",
"Unit": "cpu_core"
@@ -886,6 +926,7 @@
"EventName": "MEM_INST_RETIRED.LOCK_LOADS",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions with locked access.",
"SampleAfterValue": "100007",
"UMask": "0x21",
"Unit": "cpu_core"
@@ -899,6 +940,7 @@
"EventName": "MEM_INST_RETIRED.SPLIT_LOADS",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions that split across a cacheline boundary.",
"SampleAfterValue": "100003",
"UMask": "0x41",
"Unit": "cpu_core"
@@ -913,6 +955,7 @@
"L1_Hit_Indication": "1",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired store instructions that split across a cacheline boundary.",
"SampleAfterValue": "100003",
"UMask": "0x42",
"Unit": "cpu_core"
@@ -926,6 +969,7 @@
"EventName": "MEM_INST_RETIRED.STLB_MISS_LOADS",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Number of retired load instructions that (start a) miss in the 2nd-level TLB (STLB).",
"SampleAfterValue": "100003",
"UMask": "0x11",
"Unit": "cpu_core"
@@ -940,6 +984,7 @@
"L1_Hit_Indication": "1",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Number of retired store instructions that (start a) miss in the 2nd-level TLB (STLB).",
"SampleAfterValue": "100003",
"UMask": "0x12",
"Unit": "cpu_core"
@@ -951,6 +996,7 @@
"EventCode": "0x43",
"EventName": "MEM_LOAD_COMPLETED.L1_MISS_ANY",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Number of completed demand load requests that missed the L1 data cache including shadow misses (FB hits, merge to an ongoing L1D miss)",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0xfd",
@@ -965,6 +1011,7 @@
"EventName": "MEM_LOAD_L3_HIT_RETIRED.XSNP_FWD",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions whose data sources were HitM responses from shared L3.",
"SampleAfterValue": "20011",
"UMask": "0x4",
"Unit": "cpu_core"
@@ -978,6 +1025,7 @@
"EventName": "MEM_LOAD_L3_HIT_RETIRED.XSNP_HIT",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions whose data sources were L3 and cross-core snoop hits in on-pkg core cache.",
"SampleAfterValue": "20011",
"UMask": "0x2",
"Unit": "cpu_core"
@@ -991,6 +1039,7 @@
"EventName": "MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions whose data sources were HitM responses from shared L3.",
"SampleAfterValue": "20011",
"UMask": "0x4",
"Unit": "cpu_core"
@@ -1004,6 +1053,7 @@
"EventName": "MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the retired load instructions whose data sources were L3 hit and cross-core snoop missed in on-pkg core cache.",
"SampleAfterValue": "20011",
"UMask": "0x1",
"Unit": "cpu_core"
@@ -1017,6 +1067,7 @@
"EventName": "MEM_LOAD_L3_HIT_RETIRED.XSNP_NONE",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions whose data sources were hits in L3 without snoops required.",
"SampleAfterValue": "100003",
"UMask": "0x8",
"Unit": "cpu_core"
@@ -1030,17 +1081,21 @@
"EventName": "MEM_LOAD_L3_HIT_RETIRED.XSNP_NO_FWD",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions whose data sources were L3 and cross-core snoop hits in on-pkg core cache.",
"SampleAfterValue": "20011",
"UMask": "0x2",
"Unit": "cpu_core"
},
{
"BriefDescription": "Retired load instructions which data sources missed L3 but serviced from local dram",
+ "CollectPEBSRecord": "2",
"Counter": "0,1,2,3",
"Data_LA": "1",
"EventCode": "0xd3",
"EventName": "MEM_LOAD_L3_MISS_RETIRED.LOCAL_DRAM",
+ "PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Retired load instructions which data sources missed L3 but serviced from local DRAM.",
"SampleAfterValue": "100007",
"UMask": "0x1",
"Unit": "cpu_core"
@@ -1054,6 +1109,7 @@
"EventName": "MEM_LOAD_MISC_RETIRED.UC",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Retired instructions with at least one load to uncacheable memory-type, or at least one cache-line split locked access (Bus Lock).",
"SampleAfterValue": "100007",
"UMask": "0x4",
"Unit": "cpu_core"
@@ -1067,6 +1123,7 @@
"EventName": "MEM_LOAD_RETIRED.FB_HIT",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions with at least one uop was load missed in L1 but hit FB (Fill Buffers) due to preceding miss to the same cache line with data not ready.",
"SampleAfterValue": "100007",
"UMask": "0x40",
"Unit": "cpu_core"
@@ -1080,6 +1137,7 @@
"EventName": "MEM_LOAD_RETIRED.L1_HIT",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions with at least one uop that hit in the L1 data cache. This event includes all SW prefetches and lock instructions regardless of the data source.",
"SampleAfterValue": "1000003",
"UMask": "0x1",
"Unit": "cpu_core"
@@ -1093,6 +1151,7 @@
"EventName": "MEM_LOAD_RETIRED.L1_MISS",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions with at least one uop that missed in the L1 cache.",
"SampleAfterValue": "200003",
"UMask": "0x8",
"Unit": "cpu_core"
@@ -1106,6 +1165,7 @@
"EventName": "MEM_LOAD_RETIRED.L2_HIT",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions with L2 cache hits as data sources.",
"SampleAfterValue": "200003",
"UMask": "0x2",
"Unit": "cpu_core"
@@ -1119,6 +1179,7 @@
"EventName": "MEM_LOAD_RETIRED.L2_MISS",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions missed L2 cache as data sources.",
"SampleAfterValue": "100021",
"UMask": "0x10",
"Unit": "cpu_core"
@@ -1132,6 +1193,7 @@
"EventName": "MEM_LOAD_RETIRED.L3_HIT",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions with at least one uop that hit in the L3 cache.",
"SampleAfterValue": "100021",
"UMask": "0x4",
"Unit": "cpu_core"
@@ -1145,6 +1207,7 @@
"EventName": "MEM_LOAD_RETIRED.L3_MISS",
"PEBS": "1",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts retired load instructions with at least one uop that missed in the L3 cache.",
"SampleAfterValue": "50021",
"UMask": "0x20",
"Unit": "cpu_core"
@@ -1162,10 +1225,12 @@
},
{
"BriefDescription": "Retired memory uops for any access",
+ "CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
"EventCode": "0xe5",
"EventName": "MEM_UOP_RETIRED.ANY",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of retired micro-operations (uops) for load or store memory accesses",
"SampleAfterValue": "1000003",
"UMask": "0x3",
"Unit": "cpu_core"
@@ -1222,6 +1287,7 @@
"EventCode": "0x21",
"EventName": "OFFCORE_REQUESTS.DATA_RD",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the demand and prefetch data reads. All Core Data Reads include cacheable 'Demands' and L2 prefetchers (not L3 prefetchers). Counting also covers reads due to page walks resulted from any request type.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x8",
@@ -1234,6 +1300,7 @@
"EventCode": "0x21",
"EventName": "OFFCORE_REQUESTS.DEMAND_DATA_RD",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the Demand Data Read requests sent to uncore. Use it in conjunction with OFFCORE_REQUESTS_OUTSTANDING to determine average latency in the uncore.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x1",
@@ -1243,6 +1310,7 @@
"BriefDescription": "This event is deprecated. Refer to new event OFFCORE_REQUESTS_OUTSTANDING.DATA_RD",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3",
+ "Deprecated": "1",
"Errata": "ADL038",
"EventCode": "0x20",
"EventName": "OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD",
@@ -1274,6 +1342,7 @@
"EventCode": "0x20",
"EventName": "OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x4",
@@ -1299,6 +1368,7 @@
"EventCode": "0x40",
"EventName": "SW_PREFETCH_ACCESS.NTA",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of PREFETCHNTA instructions executed.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x1",
@@ -1311,6 +1381,7 @@
"EventCode": "0x40",
"EventName": "SW_PREFETCH_ACCESS.PREFETCHW",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of PREFETCHW instructions executed.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x8",
@@ -1323,6 +1394,7 @@
"EventCode": "0x40",
"EventName": "SW_PREFETCH_ACCESS.T0",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of PREFETCHT0 instructions executed.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x2",
@@ -1335,6 +1407,7 @@
"EventCode": "0x40",
"EventName": "SW_PREFETCH_ACCESS.T1_T2",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of PREFETCHT1 or PREFETCHT2 instructions executed.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x4",
diff --git a/tools/perf/pmu-events/arch/x86/alderlake/floating-point.json b/tools/perf/pmu-events/arch/x86/alderlake/floating-point.json
index 48a4605fc057..7cae3f9c2d02 100644
--- a/tools/perf/pmu-events/arch/x86/alderlake/floating-point.json
+++ b/tools/perf/pmu-events/arch/x86/alderlake/floating-point.json
@@ -6,6 +6,7 @@
"EventCode": "0xc3",
"EventName": "MACHINE_CLEARS.FP_ASSIST",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of floating point operations retired that required microcode assist, which is not a reflection of the number of FP operations, instructions or uops.",
"SampleAfterValue": "20003",
"Speculative": "1",
"UMask": "0x4",
@@ -43,6 +44,7 @@
"EventCode": "0xc1",
"EventName": "ASSISTS.FP",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts all microcode Floating Point assists.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x2",
@@ -103,6 +105,7 @@
"EventCode": "0xc7",
"EventName": "FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of SSE/AVX computational 128-bit packed double precision floating-point instructions retired; some instructions will count twice as noted below. Each count represents 2 computation operations, one for each element. Applies to SSE* and AVX* packed double precision floating-point instructions: ADD SUB HADD HSUB SUBADD MUL DIV MIN MAX SQRT DPP FM(N)ADD/SUB. DPP and FM(N)ADD/SUB instructions count twice as they perform 2 calculations per element. The DAZ and FTZ flags in the MXCSR register need to be set when using these events.",
"SampleAfterValue": "100003",
"UMask": "0x4",
"Unit": "cpu_core"
@@ -114,6 +117,7 @@
"EventCode": "0xc7",
"EventName": "FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of SSE/AVX computational 128-bit packed single precision floating-point instructions retired; some instructions will count twice as noted below. Each count represents 4 computation operations, one for each element. Applies to SSE* and AVX* packed single precision floating-point instructions: ADD SUB HADD HSUB SUBADD MUL DIV MIN MAX SQRT RSQRT RCP DPP FM(N)ADD/SUB. DPP and FM(N)ADD/SUB instructions count twice as they perform 2 calculations per element. The DAZ and FTZ flags in the MXCSR register need to be set when using these events.",
"SampleAfterValue": "100003",
"UMask": "0x8",
"Unit": "cpu_core"
@@ -125,6 +129,7 @@
"EventCode": "0xc7",
"EventName": "FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of SSE/AVX computational 256-bit packed double precision floating-point instructions retired; some instructions will count twice as noted below. Each count represents 4 computation operations, one for each element. Applies to SSE* and AVX* packed double precision floating-point instructions: ADD SUB HADD HSUB SUBADD MUL DIV MIN MAX SQRT FM(N)ADD/SUB. FM(N)ADD/SUB instructions count twice as they perform 2 calculations per element. The DAZ and FTZ flags in the MXCSR register need to be set when using these events.",
"SampleAfterValue": "100003",
"UMask": "0x10",
"Unit": "cpu_core"
@@ -136,6 +141,7 @@
"EventCode": "0xc7",
"EventName": "FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of SSE/AVX computational 256-bit packed single precision floating-point instructions retired; some instructions will count twice as noted below. Each count represents 8 computation operations, one for each element. Applies to SSE* and AVX* packed single precision floating-point instructions: ADD SUB HADD HSUB SUBADD MUL DIV MIN MAX SQRT RSQRT RCP DPP FM(N)ADD/SUB. DPP and FM(N)ADD/SUB instructions count twice as they perform 2 calculations per element. The DAZ and FTZ flags in the MXCSR register need to be set when using these events.",
"SampleAfterValue": "100003",
"UMask": "0x20",
"Unit": "cpu_core"
@@ -147,6 +153,7 @@
"EventCode": "0xc7",
"EventName": "FP_ARITH_INST_RETIRED.SCALAR_DOUBLE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of SSE/AVX computational scalar double precision floating-point instructions retired; some instructions will count twice as noted below. Each count represents 1 computational operation. Applies to SSE* and AVX* scalar double precision floating-point instructions: ADD SUB MUL DIV MIN MAX SQRT FM(N)ADD/SUB. FM(N)ADD/SUB instructions count twice as they perform 2 calculations per element. The DAZ and FTZ flags in the MXCSR register need to be set when using these events.",
"SampleAfterValue": "100003",
"UMask": "0x1",
"Unit": "cpu_core"
@@ -158,6 +165,7 @@
"EventCode": "0xc7",
"EventName": "FP_ARITH_INST_RETIRED.SCALAR_SINGLE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of SSE/AVX computational scalar single precision floating-point instructions retired; some instructions will count twice as noted below. Each count represents 1 computational operation. Applies to SSE* and AVX* scalar single precision floating-point instructions: ADD SUB MUL DIV MIN MAX SQRT RSQRT RCP FM(N)ADD/SUB. FM(N)ADD/SUB instructions count twice as they perform 2 calculations per element. The DAZ and FTZ flags in the MXCSR register need to be set when using these events.",
"SampleAfterValue": "100003",
"UMask": "0x2",
"Unit": "cpu_core"
diff --git a/tools/perf/pmu-events/arch/x86/alderlake/frontend.json b/tools/perf/pmu-events/arch/x86/alderlake/frontend.json
index da1a7ba0e568..06674b7203cf 100644
--- a/tools/perf/pmu-events/arch/x86/alderlake/frontend.json
+++ b/tools/perf/pmu-events/arch/x86/alderlake/frontend.json
@@ -6,6 +6,7 @@
"EventCode": "0xe6",
"EventName": "BACLEARS.ANY",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of BACLEARS, which occur when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend. Includes BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x1",
@@ -18,6 +19,7 @@
"EventCode": "0x80",
"EventName": "ICACHE.ACCESSES",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of requests to the instruction cache. The event only counts new cache line accesses, so that multiple back to back fetches to the exact same cache line or byte chunk count as one. Specifically, the event counts when accesses from sequential code crosses the cache line boundary, or when a branch target is moved to a new line or to a non-sequential byte chunk of the same line.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x3",
@@ -30,6 +32,7 @@
"EventCode": "0x80",
"EventName": "ICACHE.MISSES",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of missed requests to the instruction cache. The event only counts new cache line accesses, so that multiple back to back fetches to the exact same cache line and byte chunk count as one. Specifically, the event counts when accesses from sequential code crosses the cache line boundary, or when a branch target is moved to a new line or to a non-sequential byte chunk of the same line.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x2",
@@ -42,6 +45,7 @@
"EventCode": "0x87",
"EventName": "DECODE.LCP",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts cycles that the Instruction Length decoder (ILD) stalls occurred due to dynamically changing prefix length of the decoded instruction (by operand size prefix instruction 0x66, address size prefix instruction 0x67 or REX.W for Intel64). Count is proportional to the number of prefixes in a 16B-line. This may result in a three-cycle penalty for each LCP (Length changing prefix) in a 16-byte chunk.",
"SampleAfterValue": "500009",
"Speculative": "1",
"UMask": "0x1",
@@ -66,6 +70,7 @@
"EventCode": "0x61",
"EventName": "DSB2MITE_SWITCHES.PENALTY_CYCLES",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Decode Stream Buffer (DSB) is a Uop-cache that holds translations of previously fetched instructions that were decoded by the legacy x86 decode pipeline (MITE). This event counts fetch penalty cycles when a transition occurs from DSB to MITE.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x2",
@@ -81,6 +86,7 @@
"MSRValue": "0x1",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired Instructions that experienced DSB (Decode stream buffer i.e. the decoded instruction-cache) miss.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -96,6 +102,7 @@
"MSRValue": "0x11",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of retired Instructions that experienced a critical DSB (Decode stream buffer i.e. the decoded instruction-cache) miss. Critical means stalls were exposed to the back-end as a result of the DSB miss.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -111,6 +118,7 @@
"MSRValue": "0x14",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired Instructions that experienced iTLB (Instruction TLB) true miss.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -126,6 +134,7 @@
"MSRValue": "0x12",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired Instructions who experienced Instruction L1 Cache true miss.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -141,6 +150,7 @@
"MSRValue": "0x13",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired Instructions who experienced Instruction L2 Cache true miss.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -156,6 +166,7 @@
"MSRValue": "0x600106",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Retired instructions that are fetched after an interval where the front-end delivered no uops for a period of at least 1 cycle which was not interrupted by a back-end stall.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -171,6 +182,7 @@
"MSRValue": "0x608006",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired instructions that are fetched after an interval where the front-end delivered no uops for a period of 128 cycles which was not interrupted by a back-end stall.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -186,6 +198,7 @@
"MSRValue": "0x601006",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired instructions that are delivered to the back-end after a front-end stall of at least 16 cycles. During this period the front-end delivered no uops.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -201,6 +214,7 @@
"MSRValue": "0x600206",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Retired instructions that are fetched after an interval where the front-end delivered no uops for a period of at least 2 cycles which was not interrupted by a back-end stall.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -216,6 +230,7 @@
"MSRValue": "0x610006",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired instructions that are fetched after an interval where the front-end delivered no uops for a period of 256 cycles which was not interrupted by a back-end stall.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -231,6 +246,7 @@
"MSRValue": "0x100206",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired instructions that are delivered to the back-end after the front-end had at least 1 bubble-slot for a period of 2 cycles. A bubble-slot is an empty issue-pipeline slot while there was no RAT stall.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -246,6 +262,7 @@
"MSRValue": "0x602006",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired instructions that are delivered to the back-end after a front-end stall of at least 32 cycles. During this period the front-end delivered no uops.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -261,6 +278,7 @@
"MSRValue": "0x600406",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired instructions that are fetched after an interval where the front-end delivered no uops for a period of 4 cycles which was not interrupted by a back-end stall.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -276,6 +294,7 @@
"MSRValue": "0x620006",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired instructions that are fetched after an interval where the front-end delivered no uops for a period of 512 cycles which was not interrupted by a back-end stall.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -291,6 +310,7 @@
"MSRValue": "0x604006",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired instructions that are fetched after an interval where the front-end delivered no uops for a period of 64 cycles which was not interrupted by a back-end stall.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -306,6 +326,7 @@
"MSRValue": "0x600806",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired instructions that are delivered to the back-end after a front-end stall of at least 8 cycles. During this period the front-end delivered no uops.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -336,6 +357,7 @@
"MSRValue": "0x15",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired Instructions that experienced STLB (2nd level TLB) true miss.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -363,6 +385,7 @@
"EventCode": "0x80",
"EventName": "ICACHE_DATA.STALLS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts cycles where a code line fetch is stalled due to an L1 instruction cache miss. The decode pipeline works at a 32 Byte granularity.",
"SampleAfterValue": "500009",
"Speculative": "1",
"UMask": "0x4",
@@ -375,6 +398,7 @@
"EventCode": "0x83",
"EventName": "ICACHE_TAG.STALLS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts cycles where a code fetch is stalled due to L1 instruction cache tag miss.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x4",
@@ -388,6 +412,7 @@
"EventCode": "0x79",
"EventName": "IDQ.DSB_CYCLES_ANY",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of cycles uops were delivered to Instruction Decode Queue (IDQ) from the Decode Stream Buffer (DSB) path.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x8",
@@ -401,6 +426,7 @@
"EventCode": "0x79",
"EventName": "IDQ.DSB_CYCLES_OK",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of cycles where optimal number of uops was delivered to the Instruction Decode Queue (IDQ) from the MITE (legacy decode pipeline) path. During these cycles uops are not being delivered from the Decode Stream Buffer (DSB).",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x8",
@@ -413,6 +439,7 @@
"EventCode": "0x79",
"EventName": "IDQ.DSB_UOPS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of uops delivered to Instruction Decode Queue (IDQ) from the Decode Stream Buffer (DSB) path.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x8",
@@ -426,6 +453,7 @@
"EventCode": "0x79",
"EventName": "IDQ.MITE_CYCLES_ANY",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of cycles uops were delivered to the Instruction Decode Queue (IDQ) from the MITE (legacy decode pipeline) path. During these cycles uops are not being delivered from the Decode Stream Buffer (DSB).",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x4",
@@ -439,6 +467,7 @@
"EventCode": "0x79",
"EventName": "IDQ.MITE_CYCLES_OK",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of cycles where optimal number of uops was delivered to the Instruction Decode Queue (IDQ) from the MITE (legacy decode pipeline) path. During these cycles uops are not being delivered from the Decode Stream Buffer (DSB).",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x4",
@@ -451,6 +480,7 @@
"EventCode": "0x79",
"EventName": "IDQ.MITE_UOPS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of uops delivered to Instruction Decode Queue (IDQ) from the MITE path. This also means that uops are not being delivered from the Decode Stream Buffer (DSB).",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x4",
@@ -464,6 +494,7 @@
"EventCode": "0x79",
"EventName": "IDQ.MS_CYCLES_ANY",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts cycles during which uops are being delivered to Instruction Decode Queue (IDQ) while the Microcode Sequencer (MS) is busy. Uops maybe initiated by Decode Stream Buffer (DSB) or MITE.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x20",
@@ -478,6 +509,7 @@
"EventCode": "0x79",
"EventName": "IDQ.MS_SWITCHES",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Number of switches from DSB (Decode Stream Buffer) or MITE (legacy decode pipeline) to the Microcode Sequencer.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x20",
@@ -490,6 +522,7 @@
"EventCode": "0x79",
"EventName": "IDQ.MS_UOPS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the total number of uops delivered by the Microcode Sequencer (MS).",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x20",
@@ -502,6 +535,7 @@
"EventCode": "0x9c",
"EventName": "IDQ_UOPS_NOT_DELIVERED.CORE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of uops not delivered to by the Instruction Decode Queue (IDQ) to the back-end of the pipeline when there was no back-end stalls. This event counts for one SMT thread in a given cycle.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x1",
@@ -515,6 +549,7 @@
"EventCode": "0x9c",
"EventName": "IDQ_UOPS_NOT_DELIVERED.CYCLES_0_UOPS_DELIV.CORE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of cycles when no uops were delivered by the Instruction Decode Queue (IDQ) to the back-end of the pipeline when there was no back-end stalls. This event counts for one SMT thread in a given cycle.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x1",
@@ -529,6 +564,7 @@
"EventName": "IDQ_UOPS_NOT_DELIVERED.CYCLES_FE_WAS_OK",
"Invert": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of cycles when the optimal number of uops were delivered by the Instruction Decode Queue (IDQ) to the back-end of the pipeline when there was no back-end stalls. This event counts for one SMT thread in a given cycle.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x1",
diff --git a/tools/perf/pmu-events/arch/x86/alderlake/memory.json b/tools/perf/pmu-events/arch/x86/alderlake/memory.json
index f894e4a0212b..bbe518851be9 100644
--- a/tools/perf/pmu-events/arch/x86/alderlake/memory.json
+++ b/tools/perf/pmu-events/arch/x86/alderlake/memory.json
@@ -30,6 +30,7 @@
"EventCode": "0x05",
"EventName": "LD_HEAD.OTHER_AT_RET",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to other block cases such as pipeline conflicts, fences, etc.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0xc0",
@@ -135,6 +136,7 @@
"EventCode": "0xc3",
"EventName": "MACHINE_CLEARS.MEMORY_ORDERING",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of Machine Clears detected dye to memory ordering. Memory Ordering Machine Clears may apply when a memory read may not conform to the memory ordering rules of the x86 architecture",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x2",
@@ -203,6 +205,7 @@
"MSRValue": "0x80",
"PEBS": "2",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts randomly selected loads when the latency from first dispatch to completion is greater than 128 cycles. Reported latency may be longer than just the memory latency.",
"SampleAfterValue": "1009",
"TakenAlone": "1",
"UMask": "0x1",
@@ -219,6 +222,7 @@
"MSRValue": "0x10",
"PEBS": "2",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts randomly selected loads when the latency from first dispatch to completion is greater than 16 cycles. Reported latency may be longer than just the memory latency.",
"SampleAfterValue": "20011",
"TakenAlone": "1",
"UMask": "0x1",
@@ -235,6 +239,7 @@
"MSRValue": "0x100",
"PEBS": "2",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts randomly selected loads when the latency from first dispatch to completion is greater than 256 cycles. Reported latency may be longer than just the memory latency.",
"SampleAfterValue": "503",
"TakenAlone": "1",
"UMask": "0x1",
@@ -251,6 +256,7 @@
"MSRValue": "0x20",
"PEBS": "2",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts randomly selected loads when the latency from first dispatch to completion is greater than 32 cycles. Reported latency may be longer than just the memory latency.",
"SampleAfterValue": "100007",
"TakenAlone": "1",
"UMask": "0x1",
@@ -267,6 +273,7 @@
"MSRValue": "0x4",
"PEBS": "2",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts randomly selected loads when the latency from first dispatch to completion is greater than 4 cycles. Reported latency may be longer than just the memory latency.",
"SampleAfterValue": "100003",
"TakenAlone": "1",
"UMask": "0x1",
@@ -283,6 +290,7 @@
"MSRValue": "0x200",
"PEBS": "2",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts randomly selected loads when the latency from first dispatch to completion is greater than 512 cycles. Reported latency may be longer than just the memory latency.",
"SampleAfterValue": "101",
"TakenAlone": "1",
"UMask": "0x1",
@@ -299,6 +307,7 @@
"MSRValue": "0x40",
"PEBS": "2",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts randomly selected loads when the latency from first dispatch to completion is greater than 64 cycles. Reported latency may be longer than just the memory latency.",
"SampleAfterValue": "2003",
"TakenAlone": "1",
"UMask": "0x1",
@@ -315,6 +324,7 @@
"MSRValue": "0x8",
"PEBS": "2",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts randomly selected loads when the latency from first dispatch to completion is greater than 8 cycles. Reported latency may be longer than just the memory latency.",
"SampleAfterValue": "50021",
"TakenAlone": "1",
"UMask": "0x1",
@@ -327,6 +337,7 @@
"EventCode": "0xcd",
"EventName": "MEM_TRANS_RETIRED.STORE_SAMPLE",
"PEBS": "2",
+ "PublicDescription": "Counts Retired memory accesses with at least 1 store operation. This PEBS event is the precisely-distributed (PDist) trigger covering all stores uops for sampling by the PEBS Store Latency Facility. The facility is described in Intel SDM Volume 3 section 19.9.8",
"SampleAfterValue": "1000003",
"UMask": "0x2",
"Unit": "cpu_core"
@@ -352,5 +363,31 @@
"SampleAfterValue": "100003",
"UMask": "0x1",
"Unit": "cpu_core"
+ },
+ {
+ "BriefDescription": "Demand Data Read requests who miss L3 cache",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3",
+ "EventCode": "0x21",
+ "EventName": "OFFCORE_REQUESTS.L3_MISS_DEMAND_DATA_RD",
+ "PEBScounters": "0,1,2,3",
+ "PublicDescription": "Demand Data Read requests who miss L3 cache.",
+ "SampleAfterValue": "100003",
+ "Speculative": "1",
+ "UMask": "0x10",
+ "Unit": "cpu_core"
+ },
+ {
+ "BriefDescription": "For every cycle, increments by the number of demand data read requests pending that are known to have missed the L3 cache.",
+ "CollectPEBSRecord": "2",
+ "Counter": "0,1,2,3",
+ "EventCode": "0x20",
+ "EventName": "OFFCORE_REQUESTS_OUTSTANDING.L3_MISS_DEMAND_DATA_RD",
+ "PEBScounters": "0,1,2,3",
+ "PublicDescription": "For every cycle, increments by the number of demand data read requests pending that are known to have missed the L3 cache. Note that this does not capture all elapsed cycles while requests are outstanding - only cycles from when the requests were known by the requesting core to have missed the L3 cache.",
+ "SampleAfterValue": "2000003",
+ "Speculative": "1",
+ "UMask": "0x10",
+ "Unit": "cpu_core"
}
]
diff --git a/tools/perf/pmu-events/arch/x86/alderlake/other.json b/tools/perf/pmu-events/arch/x86/alderlake/other.json
index c49d8ce27310..6e9d6466300b 100644
--- a/tools/perf/pmu-events/arch/x86/alderlake/other.json
+++ b/tools/perf/pmu-events/arch/x86/alderlake/other.json
@@ -154,6 +154,7 @@
"EventCode": "0xa5",
"EventName": "RS.EMPTY",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles during which the reservation station (RS) is empty for this logical processor. This is usually caused when the front-end pipeline runs into starvation periods (e.g. branch mispredictions or i-cache misses)",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x7",
@@ -169,6 +170,7 @@
"EventName": "RS.EMPTY_COUNT",
"Invert": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts end of periods where the Reservation Station (RS) was empty. Could be useful to closely sample on front-end latency issues (see the FRONTEND_RETIRED event of designated precise events)",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x7",
@@ -179,6 +181,7 @@
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
"CounterMask": "1",
+ "Deprecated": "1",
"EdgeDetect": "1",
"EventCode": "0xa5",
"EventName": "RS_EMPTY.COUNT",
@@ -193,6 +196,7 @@
"BriefDescription": "This event is deprecated. Refer to new event RS.EMPTY",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
+ "Deprecated": "1",
"EventCode": "0xa5",
"EventName": "RS_EMPTY.CYCLES",
"PEBScounters": "0,1,2,3,4,5,6,7",
diff --git a/tools/perf/pmu-events/arch/x86/alderlake/pipeline.json b/tools/perf/pmu-events/arch/x86/alderlake/pipeline.json
index 1a137f7f8b7e..82077970bb37 100644
--- a/tools/perf/pmu-events/arch/x86/alderlake/pipeline.json
+++ b/tools/perf/pmu-events/arch/x86/alderlake/pipeline.json
@@ -7,6 +7,7 @@
"EventName": "BR_INST_RETIRED.ALL_BRANCHES",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of instructions in which the instruction pointer (IP) of the processor is resteered due to a branch instruction and the branch instruction successfully retires. All branch type instructions are accounted for.",
"SampleAfterValue": "200003",
"Unit": "cpu_atom"
},
@@ -14,6 +15,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.NEAR_CALL",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc4",
"EventName": "BR_INST_RETIRED.CALL",
"PEBS": "1",
@@ -86,6 +88,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.INDIRECT_CALL",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc4",
"EventName": "BR_INST_RETIRED.IND_CALL",
"PEBS": "1",
@@ -98,6 +101,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.COND",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc4",
"EventName": "BR_INST_RETIRED.JCC",
"PEBS": "1",
@@ -134,6 +138,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.INDIRECT",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc4",
"EventName": "BR_INST_RETIRED.NON_RETURN_IND",
"PEBS": "1",
@@ -158,6 +163,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.NEAR_RETURN",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc4",
"EventName": "BR_INST_RETIRED.RETURN",
"PEBS": "1",
@@ -170,6 +176,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.COND_TAKEN",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc4",
"EventName": "BR_INST_RETIRED.TAKEN_JCC",
"PEBS": "1",
@@ -186,6 +193,7 @@
"EventName": "BR_MISP_RETIRED.ALL_BRANCHES",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of mispredicted branch instructions retired. All branch type instructions are accounted for. Prediction of the branch target address enables the processor to begin executing instructions before the non-speculative execution path is known. The branch prediction unit (BPU) predicts the target address based on the instruction pointer (IP) of the branch and on the execution path through which execution reached this IP. A branch misprediction occurs when the prediction is wrong, and results in discarding all instructions executed in the speculative path and re-fetching from the correct path.",
"SampleAfterValue": "200003",
"Unit": "cpu_atom"
},
@@ -241,6 +249,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.INDIRECT_CALL",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc5",
"EventName": "BR_MISP_RETIRED.IND_CALL",
"PEBS": "1",
@@ -253,6 +262,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.COND",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc5",
"EventName": "BR_MISP_RETIRED.JCC",
"PEBS": "1",
@@ -265,6 +275,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.INDIRECT",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc5",
"EventName": "BR_MISP_RETIRED.NON_RETURN_IND",
"PEBS": "1",
@@ -289,6 +300,7 @@
"BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.COND_TAKEN",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0xc5",
"EventName": "BR_MISP_RETIRED.TAKEN_JCC",
"PEBS": "1",
@@ -303,6 +315,7 @@
"Counter": "Fixed counter 1",
"EventName": "CPU_CLK_UNHALTED.CORE",
"PEBScounters": "33",
+ "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses fixed counter 1.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -315,6 +328,7 @@
"EventCode": "0x3c",
"EventName": "CPU_CLK_UNHALTED.CORE_P",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses a programmable general purpose performance counter.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"Unit": "cpu_atom"
@@ -325,6 +339,7 @@
"Counter": "Fixed counter 2",
"EventName": "CPU_CLK_UNHALTED.REF_TSC",
"PEBScounters": "34",
+ "PublicDescription": "Counts the number of reference cycles that the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. This event is not affected by core frequency changes and increments at a fixed frequency that is also used for the Time Stamp Counter (TSC). This event uses fixed counter 2.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x3",
@@ -337,6 +352,7 @@
"EventCode": "0x3c",
"EventName": "CPU_CLK_UNHALTED.REF_TSC_P",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of reference cycles that the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. This event is not affected by core frequency changes and increments at a fixed frequency that is also used for the Time Stamp Counter (TSC). This event uses a programmable general purpose performance counter.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -348,6 +364,7 @@
"Counter": "Fixed counter 1",
"EventName": "CPU_CLK_UNHALTED.THREAD",
"PEBScounters": "33",
+ "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses fixed counter 1.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -360,6 +377,7 @@
"EventCode": "0x3c",
"EventName": "CPU_CLK_UNHALTED.THREAD_P",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses a programmable general purpose performance counter.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"Unit": "cpu_atom"
@@ -371,6 +389,7 @@
"EventName": "INST_RETIRED.ANY",
"PEBS": "1",
"PEBScounters": "32",
+ "PublicDescription": "Counts the total number of instructions that retired. For instructions that consist of multiple uops, this event counts the retirement of the last uop of the instruction. This event continues counting during hardware interrupts, traps, and inside interrupt handlers. This event uses fixed counter 0.",
"SampleAfterValue": "2000003",
"UMask": "0x1",
"Unit": "cpu_atom"
@@ -383,6 +402,7 @@
"EventName": "INST_RETIRED.ANY_P",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of instructions that retired. For instructions that consist of multiple uops, this event counts the retirement of the last uop of the instruction. This event continues counting during hardware interrupts, traps, and inside interrupt handlers. This event uses a programmable general purpose performance counter.",
"SampleAfterValue": "2000003",
"Unit": "cpu_atom"
},
@@ -390,6 +410,7 @@
"BriefDescription": "This event is deprecated. Refer to new event LD_BLOCKS.ADDRESS_ALIAS",
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5",
+ "Deprecated": "1",
"EventCode": "0x03",
"EventName": "LD_BLOCKS.4K_ALIAS",
"PEBS": "1",
@@ -489,6 +510,7 @@
"EventCode": "0x75",
"EventName": "SERIALIZATION.NON_C01_MS_SCB",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of issue slots not consumed by the backend due to a micro-sequencer (MS) scoreboard, which stalls the front-end from issuing from the UROM until a specified older uop retires. The most commonly executed instruction with an MS scoreboard is PAUSE.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0x2",
@@ -501,6 +523,7 @@
"EventCode": "0x73",
"EventName": "TOPDOWN_BAD_SPECULATION.ALL",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a mispredicted jump or a machine clear. Only issue slots wasted due to fast nukes such as memory ordering nukes are counted. Other nukes are not accounted for. Counts all issue slots blocked during this recovery window including relevant microcode flows and while uops are not yet available in the instruction queue (IQ) even if an FE_bound event occurs during this period. Also includes the issue slots that were consumed by the backend but were thrown away because they were younger than the mispredict or machine clear.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"Unit": "cpu_atom"
@@ -654,6 +677,7 @@
"EventCode": "0x71",
"EventName": "TOPDOWN_FE_BOUND.BRANCH_DETECT",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BACLEARS, which occurs when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend. Includes BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x2",
@@ -666,6 +690,7 @@
"EventCode": "0x71",
"EventName": "TOPDOWN_FE_BOUND.BRANCH_RESTEER",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BTCLEARS, which occurs when the Branch Target Buffer (BTB) predicts a taken branch.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x40",
@@ -726,6 +751,7 @@
"EventCode": "0x71",
"EventName": "TOPDOWN_FE_BOUND.ITLB",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to Instruction Table Lookaside Buffer (ITLB) misses.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x10",
@@ -797,6 +823,7 @@
"EventName": "UOPS_RETIRED.MS",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of uops that are from complex flows issued by the Microcode Sequencer (MS). This includes uops from flows due to complex instructions, faults, assists, and inserted flows.",
"SampleAfterValue": "2000003",
"UMask": "0x1",
"Unit": "cpu_atom"
@@ -818,6 +845,7 @@
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
"CounterMask": "1",
+ "Deprecated": "1",
"EventCode": "0xb0",
"EventName": "ARITH.DIVIDER_ACTIVE",
"PEBScounters": "0,1,2,3,4,5,6,7",
@@ -834,6 +862,7 @@
"EventCode": "0xb0",
"EventName": "ARITH.DIV_ACTIVE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles when divide unit is busy executing divide or square root operations. Accounts for integer and floating-point operations.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x9",
@@ -844,6 +873,7 @@
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
"CounterMask": "1",
+ "Deprecated": "1",
"EventCode": "0xb0",
"EventName": "ARITH.FP_DIVIDER_ACTIVE",
"PEBScounters": "0,1,2,3,4,5,6,7",
@@ -869,6 +899,7 @@
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
"CounterMask": "1",
+ "Deprecated": "1",
"EventCode": "0xb0",
"EventName": "ARITH.INT_DIVIDER_ACTIVE",
"PEBScounters": "0,1,2,3,4,5,6,7",
@@ -884,6 +915,7 @@
"EventCode": "0xc1",
"EventName": "ASSISTS.ANY",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of occurrences where a microcode assist is invoked by hardware. Examples include AD (page Access Dirty), FP and AVX related assists.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x1b",
@@ -897,6 +929,7 @@
"EventName": "BR_INST_RETIRED.ALL_BRANCHES",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts all branch instructions retired.",
"SampleAfterValue": "400009",
"Unit": "cpu_core"
},
@@ -908,6 +941,7 @@
"EventName": "BR_INST_RETIRED.COND",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts conditional branch instructions retired.",
"SampleAfterValue": "400009",
"UMask": "0x11",
"Unit": "cpu_core"
@@ -920,6 +954,7 @@
"EventName": "BR_INST_RETIRED.COND_NTAKEN",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts not taken branch instructions retired.",
"SampleAfterValue": "400009",
"UMask": "0x10",
"Unit": "cpu_core"
@@ -932,6 +967,7 @@
"EventName": "BR_INST_RETIRED.COND_TAKEN",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts taken conditional branch instructions retired.",
"SampleAfterValue": "400009",
"UMask": "0x1",
"Unit": "cpu_core"
@@ -944,6 +980,7 @@
"EventName": "BR_INST_RETIRED.FAR_BRANCH",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts far branch instructions retired.",
"SampleAfterValue": "100007",
"UMask": "0x40",
"Unit": "cpu_core"
@@ -956,6 +993,7 @@
"EventName": "BR_INST_RETIRED.INDIRECT",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts near indirect branch instructions retired excluding returns. TSX abort is an indirect branch.",
"SampleAfterValue": "100003",
"UMask": "0x80",
"Unit": "cpu_core"
@@ -968,6 +1006,7 @@
"EventName": "BR_INST_RETIRED.NEAR_CALL",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts both direct and indirect near call instructions retired.",
"SampleAfterValue": "100007",
"UMask": "0x2",
"Unit": "cpu_core"
@@ -980,6 +1019,7 @@
"EventName": "BR_INST_RETIRED.NEAR_RETURN",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts return instructions retired.",
"SampleAfterValue": "100007",
"UMask": "0x8",
"Unit": "cpu_core"
@@ -992,6 +1032,7 @@
"EventName": "BR_INST_RETIRED.NEAR_TAKEN",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts taken branch instructions retired.",
"SampleAfterValue": "400009",
"UMask": "0x20",
"Unit": "cpu_core"
@@ -1004,6 +1045,7 @@
"EventName": "BR_MISP_RETIRED.ALL_BRANCHES",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts all the retired branch instructions that were mispredicted by the processor. A branch misprediction occurs when the processor incorrectly predicts the destination of the branch. When the misprediction is discovered at execution, all the instructions executed in the wrong (speculative) path must be discarded, and the processor must start fetching from the correct path.",
"SampleAfterValue": "400009",
"Unit": "cpu_core"
},
@@ -1015,6 +1057,7 @@
"EventName": "BR_MISP_RETIRED.COND",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts mispredicted conditional branch instructions retired.",
"SampleAfterValue": "400009",
"UMask": "0x11",
"Unit": "cpu_core"
@@ -1027,6 +1070,7 @@
"EventName": "BR_MISP_RETIRED.COND_NTAKEN",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of conditional branch instructions retired that were mispredicted and the branch direction was not taken.",
"SampleAfterValue": "400009",
"UMask": "0x10",
"Unit": "cpu_core"
@@ -1039,6 +1083,7 @@
"EventName": "BR_MISP_RETIRED.COND_TAKEN",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts taken conditional mispredicted branch instructions retired.",
"SampleAfterValue": "400009",
"UMask": "0x1",
"Unit": "cpu_core"
@@ -1051,6 +1096,7 @@
"EventName": "BR_MISP_RETIRED.INDIRECT_CALL",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts retired mispredicted indirect (near taken) CALL instructions, including both register and memory indirect.",
"SampleAfterValue": "400009",
"UMask": "0x2",
"Unit": "cpu_core"
@@ -1063,6 +1109,7 @@
"EventName": "BR_MISP_RETIRED.NEAR_TAKEN",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts number of near branch instructions retired that were mispredicted and taken.",
"SampleAfterValue": "400009",
"UMask": "0x20",
"Unit": "cpu_core"
@@ -1075,6 +1122,7 @@
"EventName": "BR_MISP_RETIRED.RET",
"PEBS": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "This is a non-precise version (that is, does not use PEBS) of the event that counts mispredicted return instructions retired.",
"SampleAfterValue": "100007",
"UMask": "0x8",
"Unit": "cpu_core"
@@ -1086,6 +1134,7 @@
"EventCode": "0xec",
"EventName": "CPU_CLK_UNHALTED.C01",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts core clocks when the thread is in the C0.1 light-weight slower wakeup time but more power saving optimized state. This state can be entered via the TPAUSE or UMWAIT instructions.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x10",
@@ -1098,6 +1147,7 @@
"EventCode": "0xec",
"EventName": "CPU_CLK_UNHALTED.C02",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts core clocks when the thread is in the C0.2 light-weight faster wakeup time but less power saving optimized state. This state can be entered via the TPAUSE or UMWAIT instructions.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x20",
@@ -1110,6 +1160,7 @@
"EventCode": "0xec",
"EventName": "CPU_CLK_UNHALTED.C0_WAIT",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts core clocks when the thread is in the C0.1 or C0.2 power saving optimized states (TPAUSE or UMWAIT instructions) or running the PAUSE instruction.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x70",
@@ -1122,6 +1173,7 @@
"EventCode": "0xec",
"EventName": "CPU_CLK_UNHALTED.DISTRIBUTED",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "This event distributes cycle counts between active hyperthreads, i.e., those in C0. A hyperthread becomes inactive when it executes the HLT or MWAIT instructions. If all other hyperthreads are inactive (or disabled or do not exist), all counts are attributed to this hyperthread. To obtain the full count when the Core is active, sum the counts from each hyperthread.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -1134,6 +1186,7 @@
"EventCode": "0x3c",
"EventName": "CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts Core crystal clock cycles when current thread is unhalted and the other thread is halted.",
"SampleAfterValue": "25003",
"Speculative": "1",
"UMask": "0x2",
@@ -1153,6 +1206,7 @@
},
{
"BriefDescription": "CPU_CLK_UNHALTED.PAUSE_INST",
+ "CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
"CounterMask": "1",
"EdgeDetect": "1",
@@ -1166,10 +1220,12 @@
},
{
"BriefDescription": "Core crystal clock cycles. Cycle counts are evenly distributed between active threads in the Core.",
+ "CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
"EventCode": "0x3c",
"EventName": "CPU_CLK_UNHALTED.REF_DISTRIBUTED",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "This event distributes Core crystal clock cycle counts between active hyperthreads, i.e., those in C0 sleep-state. A hyperthread becomes inactive when it executes the HLT or MWAIT instructions. If one thread is active in a core, all counts are attributed to this hyperthread. To obtain the full count when the Core is active, sum the counts from each hyperthread.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x8",
@@ -1181,6 +1237,7 @@
"Counter": "Fixed counter 2",
"EventName": "CPU_CLK_UNHALTED.REF_TSC",
"PEBScounters": "34",
+ "PublicDescription": "Counts the number of reference cycles when the core is not in a halt state. The core enters the halt state when it is running the HLT instruction or the MWAIT instruction. This event is not affected by core frequency changes (for example, P states, TM2 transitions) but has the same incrementing frequency as the time stamp counter. This event can approximate elapsed time while the core was not in a halt state. It is counted on a dedicated fixed counter, leaving the eight programmable counters available for other events. Note: On all current platforms this event stops counting during 'throttling (TM)' states duty off periods the processor is 'halted'. The counter update is done at a lower clock rate then the core clock the overflow status bit for this counter may appear 'sticky'. After the counter has overflowed and software clears the overflow status bit and resets the counter to less than MAX. The reset value to the counter is not clocked immediately so the overflow status bit will flip 'high (1)' and generate another PMI (if enabled) after which the reset value gets clocked into the counter. Therefore, software will get the interrupt, read the overflow status bit '1 for bit 34 while the counter value is less than MAX. Software should ignore this case.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x3",
@@ -1193,6 +1250,7 @@
"EventCode": "0x3c",
"EventName": "CPU_CLK_UNHALTED.REF_TSC_P",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of reference cycles when the core is not in a halt state. The core enters the halt state when it is running the HLT instruction or the MWAIT instruction. This event is not affected by core frequency changes (for example, P states, TM2 transitions) but has the same incrementing frequency as the time stamp counter. This event can approximate elapsed time while the core was not in a halt state. It is counted on a dedicated fixed counter, leaving the four (eight when Hyperthreading is disabled) programmable counters available for other events. Note: On all current platforms this event stops counting during 'throttling (TM)' states duty off periods the processor is 'halted'. The counter update is done at a lower clock rate then the core clock the overflow status bit for this counter may appear 'sticky'. After the counter has overflowed and software clears the overflow status bit and resets the counter to less than MAX. The reset value to the counter is not clocked immediately so the overflow status bit will flip 'high (1)' and generate another PMI (if enabled) after which the reset value gets clocked into the counter. Therefore, software will get the interrupt, read the overflow status bit '1 for bit 34 while the counter value is less than MAX. Software should ignore this case.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -1204,6 +1262,7 @@
"Counter": "Fixed counter 1",
"EventName": "CPU_CLK_UNHALTED.THREAD",
"PEBScounters": "33",
+ "PublicDescription": "Counts the number of core cycles while the thread is not in a halt state. The thread enters the halt state when it is running the HLT instruction. This event is a component in many key event ratios. The core frequency may change from time to time due to transitions associated with Enhanced Intel SpeedStep Technology or TM2. For this reason this event may have a changing ratio with regards to time. When the core frequency is constant, this event can approximate elapsed time while the core was not in the halt state. It is counted on a dedicated fixed counter, leaving the eight programmable counters available for other events.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -1216,6 +1275,7 @@
"EventCode": "0x3c",
"EventName": "CPU_CLK_UNHALTED.THREAD_P",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "This is an architectural event that counts the number of thread cycles while the thread is not in a halt state. The thread enters the halt state when it is running the HLT instruction. The core frequency may change from time to time due to power or thermal throttling. For this reason, this event may have a changing ratio with regards to wall clock time.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"Unit": "cpu_core"
@@ -1305,6 +1365,7 @@
"EventCode": "0xa6",
"EventName": "EXE_ACTIVITY.1_PORTS_UTIL",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles during which a total of 1 uop was executed on all ports and Reservation Station (RS) was not empty.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -1317,6 +1378,7 @@
"EventCode": "0xa6",
"EventName": "EXE_ACTIVITY.2_PORTS_UTIL",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles during which a total of 2 uops were executed on all ports and Reservation Station (RS) was not empty.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x4",
@@ -1329,6 +1391,7 @@
"EventCode": "0xa6",
"EventName": "EXE_ACTIVITY.3_PORTS_UTIL",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Cycles total of 3 uops are executed on all ports and Reservation Station (RS) was not empty.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x8",
@@ -1341,6 +1404,7 @@
"EventCode": "0xa6",
"EventName": "EXE_ACTIVITY.4_PORTS_UTIL",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Cycles total of 4 uops are executed on all ports and Reservation Station (RS) was not empty.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x10",
@@ -1367,6 +1431,7 @@
"EventCode": "0xa6",
"EventName": "EXE_ACTIVITY.BOUND_ON_STORES",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles where the Store Buffer was full and no loads caused an execution stall.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x40",
@@ -1379,6 +1444,7 @@
"EventCode": "0xa6",
"EventName": "EXE_ACTIVITY.EXE_BOUND_0_PORTS",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of cycles total of 0 uops executed on all ports, Reservation Station (RS) was not empty, the Store Buffer (SB) was not full and there was no outstanding load.",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x80",
@@ -1391,6 +1457,7 @@
"EventCode": "0x75",
"EventName": "INST_DECODED.DECODERS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Number of decoders utilized in a cycle when the MITE (legacy decode pipeline) fetches instructions.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -1403,6 +1470,7 @@
"EventName": "INST_RETIRED.ANY",
"PEBS": "1",
"PEBScounters": "32",
+ "PublicDescription": "Counts the number of X86 instructions retired - an Architectural PerfMon event. Counting continues during hardware interrupts, traps, and inside interrupt handlers. Notes: INST_RETIRED.ANY is counted by a designated fixed counter freeing up programmable counters to count other events. INST_RETIRED.ANY_P is counted by a programmable counter.",
"SampleAfterValue": "2000003",
"UMask": "0x1",
"Unit": "cpu_core"
@@ -1415,6 +1483,7 @@
"EventName": "INST_RETIRED.ANY_P",
"PEBS": "1",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of X86 instructions retired - an Architectural PerfMon event. Counting continues during hardware interrupts, traps, and inside interrupt handlers. Notes: INST_RETIRED.ANY is counted by a designated fixed counter freeing up programmable counters to count other events. INST_RETIRED.ANY_P is counted by a programmable counter.",
"SampleAfterValue": "2000003",
"Unit": "cpu_core"
},
@@ -1436,6 +1505,7 @@
"EventCode": "0xc0",
"EventName": "INST_RETIRED.NOP",
"PEBScounters": "1,2,3,4,5,6,7",
+ "PublicDescription": "Counts all retired NOP or ENDBR32/64 instructions",
"SampleAfterValue": "2000003",
"UMask": "0x2",
"Unit": "cpu_core"
@@ -1447,6 +1517,7 @@
"EventName": "INST_RETIRED.PREC_DIST",
"PEBS": "1",
"PEBScounters": "32",
+ "PublicDescription": "A version of INST_RETIRED that allows for a precise distribution of samples across instructions retired. It utilizes the Precise Distribution of Instructions Retired (PDIR++) feature to fix bias in how retired instructions get sampled. Use on Fixed Counter 0.",
"SampleAfterValue": "2000003",
"UMask": "0x1",
"Unit": "cpu_core"
@@ -1469,6 +1540,7 @@
"EventCode": "0xad",
"EventName": "INT_MISC.CLEAR_RESTEER_CYCLES",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Cycles after recovery from a branch misprediction or machine clear till the first uop is issued from the resteered path.",
"SampleAfterValue": "500009",
"Speculative": "1",
"UMask": "0x80",
@@ -1481,6 +1553,7 @@
"EventCode": "0xad",
"EventName": "INT_MISC.RECOVERY_CYCLES",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts core cycles when the Resource allocator was stalled due to recovery from an earlier branch misprediction or machine clear event.",
"SampleAfterValue": "500009",
"Speculative": "1",
"UMask": "0x1",
@@ -1508,6 +1581,7 @@
"EventCode": "0xad",
"EventName": "INT_MISC.UOP_DROPPING",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Estimated number of Top-down Microarchitecture Analysis slots that got dropped due to non front-end reasons",
"SampleAfterValue": "1000003",
"Speculative": "1",
"UMask": "0x10",
@@ -1542,6 +1616,7 @@
"EventCode": "0xe7",
"EventName": "INT_VEC_RETIRED.ADD_128",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of retired integer ADD/SUB (regular or horizontal), SAD 128-bit vector instructions.",
"SampleAfterValue": "1000003",
"UMask": "0x3",
"Unit": "cpu_core"
@@ -1553,6 +1628,7 @@
"EventCode": "0xe7",
"EventName": "INT_VEC_RETIRED.ADD_256",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of retired integer ADD/SUB (regular or horizontal), SAD 256-bit vector instructions.",
"SampleAfterValue": "1000003",
"UMask": "0xc",
"Unit": "cpu_core"
@@ -1608,6 +1684,7 @@
"EventCode": "0x03",
"EventName": "LD_BLOCKS.ADDRESS_ALIAS",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of times a load got blocked due to false dependencies in MOB due to partial compare on address.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x4",
@@ -1620,6 +1697,7 @@
"EventCode": "0x03",
"EventName": "LD_BLOCKS.NO_SR",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of times that split load operations are temporarily blocked because all resources for handling the split accesses are in use.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x88",
@@ -1632,6 +1710,7 @@
"EventCode": "0x03",
"EventName": "LD_BLOCKS.STORE_FORWARD",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of times where store forwarding was prevented for a load operation. The most common case is a load blocked due to the address of memory access (partially) overlapping with a preceding uncompleted store. Note: See the table of not supported store forwards in the Optimization Guide.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x82",
@@ -1644,6 +1723,7 @@
"EventCode": "0x4c",
"EventName": "LOAD_HIT_PREFETCH.SWPF",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts all not software-prefetch load dispatches that hit the fill buffer (FB) allocated for the software prefetch. It can also be incremented by some lock instructions. So it should only be used with profiling so that the locks can be excluded by ASM (Assembly File) inspection of the nearby instructions.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x1",
@@ -1657,6 +1737,7 @@
"EventCode": "0xa8",
"EventName": "LSD.CYCLES_ACTIVE",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the cycles when at least one uop is delivered by the LSD (Loop-stream detector).",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -1670,6 +1751,7 @@
"EventCode": "0xa8",
"EventName": "LSD.CYCLES_OK",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the cycles when optimal number of uops is delivered by the LSD (Loop-stream detector).",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -1682,6 +1764,7 @@
"EventCode": "0xa8",
"EventName": "LSD.UOPS",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of uops delivered to the back-end by the LSD(Loop Stream Detector).",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -1696,6 +1779,7 @@
"EventCode": "0xc3",
"EventName": "MACHINE_CLEARS.COUNT",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of machine clears (nukes) of any type.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x1",
@@ -1708,6 +1792,7 @@
"EventCode": "0xc3",
"EventName": "MACHINE_CLEARS.SMC",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts self-modifying code (SMC) detected, which causes a machine clear.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x4",
@@ -1732,6 +1817,7 @@
"EventCode": "0xcc",
"EventName": "MISC_RETIRED.LBR_INSERTS",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Increments when an entry is added to the Last Branch Record (LBR) array (or removed from the array in case of RETURNs in call stack mode). The event requires LBR enable via IA32_DEBUGCTL MSR and branch type selection via MSR_LBR_SELECT.",
"SampleAfterValue": "100003",
"UMask": "0x20",
"Unit": "cpu_core"
@@ -1743,6 +1829,7 @@
"EventCode": "0xa2",
"EventName": "RESOURCE_STALLS.SB",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts allocation stall cycles caused by the store buffer (SB) being full. This counts cycles that the pipeline back-end blocked uop delivery from the front-end.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x8",
@@ -1767,6 +1854,7 @@
"EventCode": "0xa4",
"EventName": "TOPDOWN.BACKEND_BOUND_SLOTS",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of slots in TMA method where no micro-operations were being issued from front-end to back-end of the machine due to lack of back-end resources.",
"SampleAfterValue": "10000003",
"Speculative": "1",
"UMask": "0x2",
@@ -1777,6 +1865,7 @@
"CollectPEBSRecord": "2",
"EventCode": "0xa4",
"EventName": "TOPDOWN.BAD_SPEC_SLOTS",
+ "PublicDescription": "Number of slots of TMA method that were wasted due to incorrect speculation. It covers all types of control-flow or data-related mis-speculations.",
"SampleAfterValue": "10000003",
"Speculative": "1",
"UMask": "0x4",
@@ -1787,6 +1876,7 @@
"CollectPEBSRecord": "2",
"EventCode": "0xa4",
"EventName": "TOPDOWN.BR_MISPREDICT_SLOTS",
+ "PublicDescription": "Number of TMA slots that were wasted due to incorrect speculation by (any type of) branch mispredictions. This event estimates number of specualtive operations that were issued but not retired as well as the out-of-order engine recovery past a branch misprediction.",
"SampleAfterValue": "10000003",
"Speculative": "1",
"UMask": "0x8",
@@ -1810,6 +1900,7 @@
"Counter": "Fixed counter 3",
"EventName": "TOPDOWN.SLOTS",
"PEBScounters": "35",
+ "PublicDescription": "Number of available slots for an unhalted logical processor. The event increments by machine-width of the narrowest pipeline as employed by the Top-down Microarchitecture Analysis method (TMA). The count is distributed among unhalted logical processors (hyper-threads) who share the same physical core. Software can use this event as the denominator for the top-level metrics of the TMA method. This architectural event is counted on a designated fixed counter (Fixed Counter 3).",
"SampleAfterValue": "10000003",
"Speculative": "1",
"UMask": "0x4",
@@ -1822,6 +1913,7 @@
"EventCode": "0xa4",
"EventName": "TOPDOWN.SLOTS_P",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of available slots for an unhalted logical processor. The event increments by machine-width of the narrowest pipeline as employed by the Top-down Microarchitecture Analysis method. The count is distributed among unhalted logical processors (hyper-threads) who share the same physical core.",
"SampleAfterValue": "10000003",
"Speculative": "1",
"UMask": "0x1",
@@ -1846,6 +1938,7 @@
"EventCode": "0xb2",
"EventName": "UOPS_DISPATCHED.PORT_0",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of uops dispatch to execution port 0.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -1858,6 +1951,7 @@
"EventCode": "0xb2",
"EventName": "UOPS_DISPATCHED.PORT_1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of uops dispatch to execution port 1.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -1870,6 +1964,7 @@
"EventCode": "0xb2",
"EventName": "UOPS_DISPATCHED.PORT_2_3_10",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of uops dispatch to execution ports 2, 3 and 10",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x4",
@@ -1882,6 +1977,7 @@
"EventCode": "0xb2",
"EventName": "UOPS_DISPATCHED.PORT_4_9",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of uops dispatch to execution ports 4 and 9",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x10",
@@ -1894,6 +1990,7 @@
"EventCode": "0xb2",
"EventName": "UOPS_DISPATCHED.PORT_5_11",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of uops dispatch to execution ports 5 and 11",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x20",
@@ -1906,6 +2003,7 @@
"EventCode": "0xb2",
"EventName": "UOPS_DISPATCHED.PORT_6",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of uops dispatch to execution port 6.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x40",
@@ -1918,6 +2016,7 @@
"EventCode": "0xb2",
"EventName": "UOPS_DISPATCHED.PORT_7_8",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Number of uops dispatch to execution ports 7 and 8.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x80",
@@ -1931,6 +2030,7 @@
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.CORE_CYCLES_GE_1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles when at least 1 micro-op is executed from any thread on physical core.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -1944,6 +2044,7 @@
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.CORE_CYCLES_GE_2",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles when at least 2 micro-ops are executed from any thread on physical core.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -1957,6 +2058,7 @@
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.CORE_CYCLES_GE_3",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles when at least 3 micro-ops are executed from any thread on physical core.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -1970,6 +2072,7 @@
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.CORE_CYCLES_GE_4",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles when at least 4 micro-ops are executed from any thread on physical core.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x2",
@@ -1983,6 +2086,7 @@
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.CYCLES_GE_1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Cycles where at least 1 uop was executed per-thread.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -1996,6 +2100,7 @@
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.CYCLES_GE_2",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Cycles where at least 2 uops were executed per-thread.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -2009,6 +2114,7 @@
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.CYCLES_GE_3",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Cycles where at least 3 uops were executed per-thread.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -2022,6 +2128,7 @@
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.CYCLES_GE_4",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Cycles where at least 4 uops were executed per-thread.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -2036,6 +2143,7 @@
"EventName": "UOPS_EXECUTED.STALLS",
"Invert": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles during which no uops were dispatched from the Reservation Station (RS) per thread.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -2046,6 +2154,7 @@
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
"CounterMask": "1",
+ "Deprecated": "1",
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.STALL_CYCLES",
"Invert": "1",
@@ -2074,6 +2183,7 @@
"EventCode": "0xb1",
"EventName": "UOPS_EXECUTED.X87",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of x87 uops executed.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x10",
@@ -2086,6 +2196,7 @@
"EventCode": "0xae",
"EventName": "UOPS_ISSUED.ANY",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of uops that the Resource Allocation Table (RAT) issues to the Reservation Station (RS).",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0x1",
@@ -2099,6 +2210,7 @@
"EventCode": "0xc2",
"EventName": "UOPS_RETIRED.CYCLES",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts cycles where at least one uop has retired.",
"SampleAfterValue": "1000003",
"UMask": "0x2",
"Unit": "cpu_core"
@@ -2110,6 +2222,7 @@
"EventCode": "0xc2",
"EventName": "UOPS_RETIRED.HEAVY",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the number of retired micro-operations (uops) except the last uop of each instruction. An instruction that is decoded into less than two uops does not contribute to the count.",
"SampleAfterValue": "2000003",
"UMask": "0x1",
"Unit": "cpu_core"
@@ -2135,6 +2248,7 @@
"EventCode": "0xc2",
"EventName": "UOPS_RETIRED.SLOTS",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "Counts the retirement slots used each cycle.",
"SampleAfterValue": "2000003",
"UMask": "0x2",
"Unit": "cpu_core"
@@ -2148,6 +2262,7 @@
"EventName": "UOPS_RETIRED.STALLS",
"Invert": "1",
"PEBScounters": "0,1,2,3,4,5,6,7",
+ "PublicDescription": "This event counts cycles without actually retired uops.",
"SampleAfterValue": "1000003",
"UMask": "0x2",
"Unit": "cpu_core"
@@ -2157,6 +2272,7 @@
"CollectPEBSRecord": "2",
"Counter": "0,1,2,3,4,5,6,7",
"CounterMask": "1",
+ "Deprecated": "1",
"EventCode": "0xc2",
"EventName": "UOPS_RETIRED.STALL_CYCLES",
"Invert": "1",
diff --git a/tools/perf/pmu-events/arch/x86/alderlake/uncore-other.json b/tools/perf/pmu-events/arch/x86/alderlake/uncore-other.json
index b1ae349f5f21..8a31b0e0a1b7 100644
--- a/tools/perf/pmu-events/arch/x86/alderlake/uncore-other.json
+++ b/tools/perf/pmu-events/arch/x86/alderlake/uncore-other.json
@@ -1,13 +1,4 @@
[
- {
- "BriefDescription": "This 48-bit fixed counter counts the UCLK cycles",
- "Counter": "Fixed",
- "CounterType": "PGMABLE",
- "EventCode": "0xff",
- "EventName": "UNC_CLOCK.SOCKET",
- "PerPkg": "1",
- "Unit": "CLOCK"
- },
{
"BriefDescription": "Counts the number of coherent and in-coherent requests initiated by IA cores, processor graphic units, or LLC",
"Counter": "0,1",
@@ -28,6 +19,15 @@
"UMask": "0x01",
"Unit": "ARB"
},
+ {
+ "BriefDescription": "This 48-bit fixed counter counts the UCLK cycles",
+ "Counter": "Fixed",
+ "CounterType": "FIXED",
+ "EventCode": "0xff",
+ "EventName": "UNC_CLOCK.SOCKET",
+ "PerPkg": "1",
+ "Unit": "CLOCK"
+ },
{
"BriefDescription": "Each cycle counts number of all outgoing valid entries in ReqTrk. Such entry is defined as valid from its allocation in ReqTrk till deallocation. Accounts for Coherent and non-coherent traffic",
"CounterType": "PGMABLE",
@@ -36,5 +36,52 @@
"PerPkg": "1",
"UMask": "0x01",
"Unit": "ARB"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event UNC_ARB_DAT_REQUESTS.RD",
+ "Counter": "0,1",
+ "CounterType": "PGMABLE",
+ "EventCode": "0x81",
+ "EventName": "UNC_ARB_REQ_TRK_REQUEST.DRD",
+ "PerPkg": "1",
+ "UMask": "0x02",
+ "Unit": "ARB"
+ },
+ {
+ "BriefDescription": "This event is deprecated. Refer to new event UNC_ARB_DAT_OCCUPANCY.ALL",
+ "CounterType": "PGMABLE",
+ "EventCode": "0x85",
+ "EventName": "UNC_ARB_IFA_OCCUPANCY.ALL",
+ "PerPkg": "1",
+ "UMask": "0x01",
+ "Unit": "ARB"
+ },
+ {
+ "BriefDescription": "Each cycle counts number of any coherent request at memory controller that were issued by any core",
+ "CounterType": "PGMABLE",
+ "EventCode": "0x85",
+ "EventName": "UNC_ARB_DAT_OCCUPANCY.ALL",
+ "PerPkg": "1",
+ "UMask": "0x01",
+ "Unit": "ARB"
+ },
+ {
+ "BriefDescription": "Number of coherent read requests sent to memory controller that were issued by any core",
+ "Counter": "0,1",
+ "CounterType": "PGMABLE",
+ "EventCode": "0x81",
+ "EventName": "UNC_ARB_DAT_REQUESTS.RD",
+ "PerPkg": "1",
+ "UMask": "0x02",
+ "Unit": "ARB"
+ },
+ {
+ "BriefDescription": "Each cycle counts number of coherent reads pending on data return from memory controller that were issued by any core",
+ "CounterType": "PGMABLE",
+ "EventCode": "0x85",
+ "EventName": "UNC_ARB_DAT_OCCUPANCY.RD",
+ "PerPkg": "1",
+ "UMask": "0x02",
+ "Unit": "ARB"
}
]
diff --git a/tools/perf/pmu-events/arch/x86/alderlake/virtual-memory.json b/tools/perf/pmu-events/arch/x86/alderlake/virtual-memory.json
index 12baf768ad8d..cda558a19e6f 100644
--- a/tools/perf/pmu-events/arch/x86/alderlake/virtual-memory.json
+++ b/tools/perf/pmu-events/arch/x86/alderlake/virtual-memory.json
@@ -6,6 +6,7 @@
"EventCode": "0x08",
"EventName": "DTLB_LOAD_MISSES.WALK_COMPLETED",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of page walks completed due to loads (including SW prefetches) whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xe",
@@ -18,6 +19,7 @@
"EventCode": "0x49",
"EventName": "DTLB_STORE_MISSES.WALK_COMPLETED",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of page walks completed due to stores whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
"SampleAfterValue": "2000003",
"Speculative": "1",
"UMask": "0xe",
@@ -54,6 +56,7 @@
"EventCode": "0x85",
"EventName": "ITLB_MISSES.WALK_COMPLETED",
"PEBScounters": "0,1,2,3,4,5",
+ "PublicDescription": "Counts the number of page walks completed due to instruction fetches whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
"SampleAfterValue": "200003",
"Speculative": "1",
"UMask": "0xe",
@@ -78,6 +81,7 @@
"EventCode": "0x12",
"EventName": "DTLB_LOAD_MISSES.STLB_HIT",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts loads that miss the DTLB (Data TLB) and hit the STLB (Second level TLB).",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x20",
@@ -91,6 +95,7 @@
"EventCode": "0x12",
"EventName": "DTLB_LOAD_MISSES.WALK_ACTIVE",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts cycles when at least one PMH (Page Miss Handler) is busy with a page walk for a demand load.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x10",
@@ -103,6 +108,7 @@
"EventCode": "0x12",
"EventName": "DTLB_LOAD_MISSES.WALK_COMPLETED",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (all page sizes) caused by demand data loads. This implies it missed in the DTLB and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0xe",
@@ -115,6 +121,7 @@
"EventCode": "0x12",
"EventName": "DTLB_LOAD_MISSES.WALK_COMPLETED_1G",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (1G sizes) caused by demand data loads. This implies address translations missed in the DTLB and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x8",
@@ -127,6 +134,7 @@
"EventCode": "0x12",
"EventName": "DTLB_LOAD_MISSES.WALK_COMPLETED_2M_4M",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (2M/4M sizes) caused by demand data loads. This implies address translations missed in the DTLB and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x4",
@@ -139,6 +147,7 @@
"EventCode": "0x12",
"EventName": "DTLB_LOAD_MISSES.WALK_COMPLETED_4K",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (4K sizes) caused by demand data loads. This implies address translations missed in the DTLB and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x2",
@@ -151,6 +160,7 @@
"EventCode": "0x12",
"EventName": "DTLB_LOAD_MISSES.WALK_PENDING",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of page walks outstanding for a demand load in the PMH (Page Miss Handler) each cycle.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x10",
@@ -163,6 +173,7 @@
"EventCode": "0x13",
"EventName": "DTLB_STORE_MISSES.STLB_HIT",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts stores that miss the DTLB (Data TLB) and hit the STLB (2nd Level TLB).",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x20",
@@ -176,6 +187,7 @@
"EventCode": "0x13",
"EventName": "DTLB_STORE_MISSES.WALK_ACTIVE",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts cycles when at least one PMH (Page Miss Handler) is busy with a page walk for a store.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x10",
@@ -188,6 +200,7 @@
"EventCode": "0x13",
"EventName": "DTLB_STORE_MISSES.WALK_COMPLETED",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (all page sizes) caused by demand data stores. This implies it missed in the DTLB and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0xe",
@@ -200,6 +213,7 @@
"EventCode": "0x13",
"EventName": "DTLB_STORE_MISSES.WALK_COMPLETED_1G",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (1G sizes) caused by demand data stores. This implies address translations missed in the DTLB and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x8",
@@ -212,6 +226,7 @@
"EventCode": "0x13",
"EventName": "DTLB_STORE_MISSES.WALK_COMPLETED_2M_4M",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (2M/4M sizes) caused by demand data stores. This implies address translations missed in the DTLB and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x4",
@@ -224,6 +239,7 @@
"EventCode": "0x13",
"EventName": "DTLB_STORE_MISSES.WALK_COMPLETED_4K",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (4K sizes) caused by demand data stores. This implies address translations missed in the DTLB and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x2",
@@ -236,6 +252,7 @@
"EventCode": "0x13",
"EventName": "DTLB_STORE_MISSES.WALK_PENDING",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of page walks outstanding for a store in the PMH (Page Miss Handler) each cycle.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x10",
@@ -248,6 +265,7 @@
"EventCode": "0x11",
"EventName": "ITLB_MISSES.STLB_HIT",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts instruction fetch requests that miss the ITLB (Instruction TLB) and hit the STLB (Second-level TLB).",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x20",
@@ -261,6 +279,7 @@
"EventCode": "0x11",
"EventName": "ITLB_MISSES.WALK_ACTIVE",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts cycles when at least one PMH (Page Miss Handler) is busy with a page walk for a code (instruction fetch) request.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x10",
@@ -273,6 +292,7 @@
"EventCode": "0x11",
"EventName": "ITLB_MISSES.WALK_COMPLETED",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (all page sizes) caused by a code fetch. This implies it missed in the ITLB (Instruction TLB) and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0xe",
@@ -285,6 +305,7 @@
"EventCode": "0x11",
"EventName": "ITLB_MISSES.WALK_COMPLETED_2M_4M",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (2M/4M page sizes) caused by a code fetch. This implies it missed in the ITLB (Instruction TLB) and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x4",
@@ -297,6 +318,7 @@
"EventCode": "0x11",
"EventName": "ITLB_MISSES.WALK_COMPLETED_4K",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts completed page walks (4K page sizes) caused by a code fetch. This implies it missed in the ITLB (Instruction TLB) and further levels of TLB. The page walk can end with or without a fault.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x2",
@@ -309,6 +331,7 @@
"EventCode": "0x11",
"EventName": "ITLB_MISSES.WALK_PENDING",
"PEBScounters": "0,1,2,3",
+ "PublicDescription": "Counts the number of page walks outstanding for an outstanding code (instruction fetch) request in the PMH (Page Miss Handler) each cycle.",
"SampleAfterValue": "100003",
"Speculative": "1",
"UMask": "0x10",
--
2.25.1


2022-11-19 01:49:04

by Ian Rogers

[permalink] [raw]
Subject: Re: [PATCH 2/5] perf vendor events intel: Add core event list for Alderlake-N

On Thu, Nov 17, 2022 at 11:57 PM <[email protected]> wrote:
>
> From: Zhengjun Xing <[email protected]>
>
> Alderlake-N only has E-core, it has been moved to non-hybrid code path on
> the kernel side. Add core event list for Alderlake-N, it is based on the
> ADL gracemont v1.16 JSON file.
>
> https://github.com/intel/perfmon/tree/main/ADL/events/
>
> Signed-off-by: Zhengjun Xing <[email protected]>
> Reviewed-by: Kan Liang <[email protected]>
> ---
> .../pmu-events/arch/x86/alderlaken/cache.json | 450 ++++++++++
> .../arch/x86/alderlaken/floating-point.json | 25 +
> .../arch/x86/alderlaken/frontend.json | 38 +
> .../arch/x86/alderlaken/memory.json | 109 +++
> .../pmu-events/arch/x86/alderlaken/other.json | 42 +
> .../arch/x86/alderlaken/pipeline.json | 774 ++++++++++++++++++
> .../arch/x86/alderlaken/virtual-memory.json | 71 ++
> 7 files changed, 1509 insertions(+)
> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/cache.json
> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json
> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/frontend.json
> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/memory.json
> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/other.json
> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json
> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json
>
> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/cache.json b/tools/perf/pmu-events/arch/x86/alderlaken/cache.json
> new file mode 100644
> index 000000000000..a6aa87d1365b
> --- /dev/null
> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/cache.json
> @@ -0,0 +1,450 @@
> +[
> + {
> + "BriefDescription": "Counts the number of cacheable memory requests that miss in the LLC. Counts on a per core basis.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x2e",
> + "EventName": "LONGEST_LAT_CACHE.MISS",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of cacheable memory requests that miss in the Last Level Cache (LLC). Requests include demand loads, reads for ownership (RFO), instruction fetches and L1 HW prefetches. If the platform has an L3 cache, the LLC is the L3 cache, otherwise it is the L2 cache. Counts on a per core basis.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x41"

In this event CollectPEBSRecord, Counter, PEBScounters and Speculative
are all unused in the jevents.py converter. There is a new perf json
creating tool that doesn't generate these unneeded values:
https://github.com/intel/perfmon/pull/27
Would it not make more sense to use that to generate the AlderlakeN
data? It also fixes a number of bugs, in particular in uncore event
generation.

Thanks,
Ian

> + },
> + {
> + "BriefDescription": "Counts the number of cacheable memory requests that access the LLC. Counts on a per core basis.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x2e",
> + "EventName": "LONGEST_LAT_CACHE.REFERENCE",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of cacheable memory requests that access the Last Level Cache (LLC). Requests include demand loads, reads for ownership (RFO), instruction fetches and L1 HW prefetches. If the platform has an L3 cache, the LLC is the L3 cache, otherwise it is the L2 cache. Counts on a per core basis.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x4f"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x34",
> + "EventName": "MEM_BOUND_STALLS.IFETCH",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or translation lookaside buffer (TLB) miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x38"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in DRAM or MMIO (Non-DRAM).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x34",
> + "EventName": "MEM_BOUND_STALLS.IFETCH_DRAM_HIT",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or translation lookaside buffer (TLB) miss which hit in DRAM or MMIO (non-DRAM).",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x20"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in the L2 cache.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x34",
> + "EventName": "MEM_BOUND_STALLS.IFETCH_L2_HIT",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or Translation Lookaside Buffer (TLB) miss which hit in the L2 cache.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x8"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in the LLC or other core with HITE/F/M.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x34",
> + "EventName": "MEM_BOUND_STALLS.IFETCH_LLC_HIT",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or Translation Lookaside Buffer (TLB) miss which hit in the Last Level Cache (LLC) or other core with HITE/F/M.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x10"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x34",
> + "EventName": "MEM_BOUND_STALLS.LOAD",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x7"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load miss which hit in DRAM or MMIO (Non-DRAM).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x34",
> + "EventName": "MEM_BOUND_STALLS.LOAD_DRAM_HIT",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x4"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the L2 cache.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x34",
> + "EventName": "MEM_BOUND_STALLS.LOAD_L2_HIT",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the LLC or other core with HITE/F/M.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x34",
> + "EventName": "MEM_BOUND_STALLS.LOAD_LLC_HIT",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the Last Level Cache (LLC) or other core with HITE/F/M.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts the number of load uops retired that hit in DRAM.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Data_LA": "1",
> + "EventCode": "0xd1",
> + "EventName": "MEM_LOAD_UOPS_RETIRED.DRAM_HIT",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0x80"
> + },
> + {
> + "BriefDescription": "Counts the number of load uops retired that hit in the L2 cache.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Data_LA": "1",
> + "EventCode": "0xd1",
> + "EventName": "MEM_LOAD_UOPS_RETIRED.L2_HIT",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts the number of load uops retired that hit in the L3 cache.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Data_LA": "1",
> + "EventCode": "0xd1",
> + "EventName": "MEM_LOAD_UOPS_RETIRED.L3_HIT",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0x4"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles that uops are blocked for any of the following reasons: load buffer, store buffer or RSV full.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x04",
> + "EventName": "MEM_SCHEDULER_BLOCK.ALL",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x7"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles that uops are blocked due to a load buffer full condition.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x04",
> + "EventName": "MEM_SCHEDULER_BLOCK.LD_BUF",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles that uops are blocked due to an RSV full condition.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x04",
> + "EventName": "MEM_SCHEDULER_BLOCK.RSV",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x4"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles that uops are blocked due to a store buffer full condition.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x04",
> + "EventName": "MEM_SCHEDULER_BLOCK.ST_BUF",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of load uops retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.ALL_LOADS",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the total number of load uops retired.",
> + "SampleAfterValue": "200003",
> + "UMask": "0x81"
> + },
> + {
> + "BriefDescription": "Counts the number of store uops retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.ALL_STORES",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the total number of store uops retired.",
> + "SampleAfterValue": "200003",
> + "UMask": "0x82"
> + },
> + {
> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 128 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_128",
> + "L1_Hit_Indication": "1",
> + "MSRIndex": "0x3F6",
> + "MSRValue": "0x80",
> + "PEBS": "2",
> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 128 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
> + "SampleAfterValue": "1000003",
> + "TakenAlone": "1",
> + "UMask": "0x5"
> + },
> + {
> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 16 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_16",
> + "L1_Hit_Indication": "1",
> + "MSRIndex": "0x3F6",
> + "MSRValue": "0x10",
> + "PEBS": "2",
> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 16 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
> + "SampleAfterValue": "1000003",
> + "TakenAlone": "1",
> + "UMask": "0x5"
> + },
> + {
> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 256 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_256",
> + "L1_Hit_Indication": "1",
> + "MSRIndex": "0x3F6",
> + "MSRValue": "0x100",
> + "PEBS": "2",
> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 256 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
> + "SampleAfterValue": "1000003",
> + "TakenAlone": "1",
> + "UMask": "0x5"
> + },
> + {
> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 32 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_32",
> + "L1_Hit_Indication": "1",
> + "MSRIndex": "0x3F6",
> + "MSRValue": "0x20",
> + "PEBS": "2",
> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 32 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
> + "SampleAfterValue": "1000003",
> + "TakenAlone": "1",
> + "UMask": "0x5"
> + },
> + {
> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 4 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_4",
> + "L1_Hit_Indication": "1",
> + "MSRIndex": "0x3F6",
> + "MSRValue": "0x4",
> + "PEBS": "2",
> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 4 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
> + "SampleAfterValue": "1000003",
> + "TakenAlone": "1",
> + "UMask": "0x5"
> + },
> + {
> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 512 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_512",
> + "L1_Hit_Indication": "1",
> + "MSRIndex": "0x3F6",
> + "MSRValue": "0x200",
> + "PEBS": "2",
> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 512 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
> + "SampleAfterValue": "1000003",
> + "TakenAlone": "1",
> + "UMask": "0x5"
> + },
> + {
> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 64 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_64",
> + "L1_Hit_Indication": "1",
> + "MSRIndex": "0x3F6",
> + "MSRValue": "0x40",
> + "PEBS": "2",
> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 64 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
> + "SampleAfterValue": "1000003",
> + "TakenAlone": "1",
> + "UMask": "0x5"
> + },
> + {
> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 8 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_8",
> + "L1_Hit_Indication": "1",
> + "MSRIndex": "0x3F6",
> + "MSRValue": "0x8",
> + "PEBS": "2",
> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 8 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
> + "SampleAfterValue": "1000003",
> + "TakenAlone": "1",
> + "UMask": "0x5"
> + },
> + {
> + "BriefDescription": "Counts the number of retired split load uops.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.SPLIT_LOADS",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0x41"
> + },
> + {
> + "BriefDescription": "Counts the number of stores uops retired. Counts with or without PEBS enabled.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Data_LA": "1",
> + "EventCode": "0xd0",
> + "EventName": "MEM_UOPS_RETIRED.STORE_LATENCY",
> + "L1_Hit_Indication": "1",
> + "PEBS": "2",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of stores uops retired. Counts with or without PEBS enabled. If PEBS is enabled and a PEBS record is generated, will populate PEBS Latency and PEBS Data Source fields accordingly.",
> + "SampleAfterValue": "1000003",
> + "UMask": "0x6"
> + },
> + {
> + "BriefDescription": "Counts demand data reads that were supplied by the L3 cache.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_DATA_RD.L3_HIT",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x3F803C0001",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand data reads that were supplied by the L3 cache where a snoop was sent, the snoop hit, and modified data was forwarded.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x10003C0001",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand data reads that were supplied by the L3 cache where a snoop was sent, the snoop hit, but no data was forwarded.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_NO_FWD",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x4003C0001",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand data reads that were supplied by the L3 cache where a snoop was sent, the snoop hit, and non-modified data was forwarded.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_WITH_FWD",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x8003C0001",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were supplied by the L3 cache.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_RFO.L3_HIT",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x3F803C0002",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were supplied by the L3 cache where a snoop was sent, the snoop hit, and modified data was forwarded.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_RFO.L3_HIT.SNOOP_HITM",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x10003C0002",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to instruction cache misses.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.ICACHE",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x20"
> + }
> +]
> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json b/tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json
> new file mode 100644
> index 000000000000..02eb1af8db00
> --- /dev/null
> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json
> @@ -0,0 +1,25 @@
> +[
> + {
> + "BriefDescription": "Counts the number of floating point operations retired that required microcode assist.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc3",
> + "EventName": "MACHINE_CLEARS.FP_ASSIST",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of floating point operations retired that required microcode assist, which is not a reflection of the number of FP operations, instructions or uops.",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x4"
> + },
> + {
> + "BriefDescription": "Counts the number of floating point divide uops retired (x87 and SSE, including x87 sqrt).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc2",
> + "EventName": "UOPS_RETIRED.FPDIV",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "2000003",
> + "UMask": "0x8"
> + }
> +]
> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/frontend.json b/tools/perf/pmu-events/arch/x86/alderlaken/frontend.json
> new file mode 100644
> index 000000000000..ed0587945a05
> --- /dev/null
> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/frontend.json
> @@ -0,0 +1,38 @@
> +[
> + {
> + "BriefDescription": "Counts the total number of BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xe6",
> + "EventName": "BACLEARS.ANY",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the total number of BACLEARS, which occur when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend. Includes BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
> + "SampleAfterValue": "100003",
> + "Speculative": "1",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of requests to the instruction cache for one or more bytes of a cache line.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x80",
> + "EventName": "ICACHE.ACCESSES",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the total number of requests to the instruction cache. The event only counts new cache line accesses, so that multiple back to back fetches to the exact same cache line or byte chunk count as one. Specifically, the event counts when accesses from sequential code crosses the cache line boundary, or when a branch target is moved to a new line or to a non-sequential byte chunk of the same line.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x3"
> + },
> + {
> + "BriefDescription": "Counts the number of instruction cache misses.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x80",
> + "EventName": "ICACHE.MISSES",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of missed requests to the instruction cache. The event only counts new cache line accesses, so that multiple back to back fetches to the exact same cache line and byte chunk count as one. Specifically, the event counts when accesses from sequential code crosses the cache line boundary, or when a branch target is moved to a new line or to a non-sequential byte chunk of the same line.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + }
> +]
> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/memory.json b/tools/perf/pmu-events/arch/x86/alderlaken/memory.json
> new file mode 100644
> index 000000000000..cabf2fc8600f
> --- /dev/null
> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/memory.json
> @@ -0,0 +1,109 @@
> +[
> + {
> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer is stalled due to any number of reasons, including an L1 miss, WCB full, pagewalk, store address block or store data block, on a load that retires.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x05",
> + "EventName": "LD_HEAD.ANY_AT_RET",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0xff"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer is stalled due to a core bound stall including a store address match, a DTLB miss or a page walk that detains the load from retiring.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x05",
> + "EventName": "LD_HEAD.L1_BOUND_AT_RET",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0xf4"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to other block cases.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x05",
> + "EventName": "LD_HEAD.OTHER_AT_RET",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to other block cases such as pipeline conflicts, fences, etc.",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0xc0"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to a pagewalk.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x05",
> + "EventName": "LD_HEAD.PGWALK_AT_RET",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0xa0"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to a store address match.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x05",
> + "EventName": "LD_HEAD.ST_ADDR_AT_RET",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x84"
> + },
> + {
> + "BriefDescription": "Counts the number of machine clears due to memory ordering caused by a snoop from an external agent. Does not count internally generated machine clears such as those due to memory disambiguation.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc3",
> + "EventName": "MACHINE_CLEARS.MEMORY_ORDERING",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts demand data reads that were not supplied by the L3 cache.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_DATA_RD.L3_MISS",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x3F84400001",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand data reads that were not supplied by the L3 cache.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_DATA_RD.L3_MISS_LOCAL",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x3F84400001",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were not supplied by the L3 cache.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_RFO.L3_MISS",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x3F84400002",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were not supplied by the L3 cache.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_RFO.L3_MISS_LOCAL",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x3F84400002",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + }
> +]
> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/other.json b/tools/perf/pmu-events/arch/x86/alderlaken/other.json
> new file mode 100644
> index 000000000000..25c7f1f47e28
> --- /dev/null
> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/other.json
> @@ -0,0 +1,42 @@
> +[
> + {
> + "BriefDescription": "Counts modified writebacks from L1 cache and L2 cache that have any type of response.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.COREWB_M.ANY_RESPONSE",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x10008",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand data reads that have any type of response.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_DATA_RD.ANY_RESPONSE",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x10001",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that have any type of response.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.DEMAND_RFO.ANY_RESPONSE",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x10002",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts streaming stores that have any type of response.",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xB7",
> + "EventName": "OCR.STREAMING_WR.ANY_RESPONSE",
> + "MSRIndex": "0x1a6,0x1a7",
> + "MSRValue": "0x10800",
> + "SampleAfterValue": "100003",
> + "UMask": "0x1"
> + }
> +]
> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json b/tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json
> new file mode 100644
> index 000000000000..f922d96e5aaa
> --- /dev/null
> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json
> @@ -0,0 +1,774 @@
> +[
> + {
> + "BriefDescription": "Counts the total number of branch instructions retired for all branch types.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.ALL_BRANCHES",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the total number of instructions in which the instruction pointer (IP) of the processor is resteered due to a branch instruction and the branch instruction successfully retires. All branch type instructions are accounted for.",
> + "SampleAfterValue": "200003"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.NEAR_CALL",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.CALL",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xf9"
> + },
> + {
> + "BriefDescription": "Counts the number of retired JCC (Jump on Conditional Code) branch instructions retired, includes both taken and not taken branches.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.COND",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0x7e"
> + },
> + {
> + "BriefDescription": "Counts the number of taken JCC (Jump on Conditional Code) branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.COND_TAKEN",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xfe"
> + },
> + {
> + "BriefDescription": "Counts the number of far branch instructions retired, includes far jump, far call and return, and interrupt call and return.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.FAR_BRANCH",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xbf"
> + },
> + {
> + "BriefDescription": "Counts the number of near indirect JMP and near indirect CALL branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.INDIRECT",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xeb"
> + },
> + {
> + "BriefDescription": "Counts the number of near indirect CALL branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.INDIRECT_CALL",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xfb"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.INDIRECT_CALL",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.IND_CALL",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xfb"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.COND",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.JCC",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0x7e"
> + },
> + {
> + "BriefDescription": "Counts the number of near CALL branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.NEAR_CALL",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xf9"
> + },
> + {
> + "BriefDescription": "Counts the number of near RET branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.NEAR_RETURN",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xf7"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.INDIRECT",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.NON_RETURN_IND",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xeb"
> + },
> + {
> + "BriefDescription": "Counts the number of near relative CALL branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.REL_CALL",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xfd"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.NEAR_RETURN",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.RETURN",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xf7"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.COND_TAKEN",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc4",
> + "EventName": "BR_INST_RETIRED.TAKEN_JCC",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xfe"
> + },
> + {
> + "BriefDescription": "Counts the total number of mispredicted branch instructions retired for all branch types.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.ALL_BRANCHES",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the total number of mispredicted branch instructions retired. All branch type instructions are accounted for. Prediction of the branch target address enables the processor to begin executing instructions before the non-speculative execution path is known. The branch prediction unit (BPU) predicts the target address based on the instruction pointer (IP) of the branch and on the execution path through which execution reached this IP. A branch misprediction occurs when the prediction is wrong, and results in discarding all instructions executed in the speculative path and re-fetching from the correct path.",
> + "SampleAfterValue": "200003"
> + },
> + {
> + "BriefDescription": "Counts the number of mispredicted JCC (Jump on Conditional Code) branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.COND",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0x7e"
> + },
> + {
> + "BriefDescription": "Counts the number of mispredicted taken JCC (Jump on Conditional Code) branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.COND_TAKEN",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xfe"
> + },
> + {
> + "BriefDescription": "Counts the number of mispredicted near indirect JMP and near indirect CALL branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.INDIRECT",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xeb"
> + },
> + {
> + "BriefDescription": "Counts the number of mispredicted near indirect CALL branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.INDIRECT_CALL",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xfb"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.INDIRECT_CALL",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.IND_CALL",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xfb"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.COND",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.JCC",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0x7e"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.INDIRECT",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.NON_RETURN_IND",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xeb"
> + },
> + {
> + "BriefDescription": "Counts the number of mispredicted near RET branch instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.RETURN",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xf7"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.COND_TAKEN",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0xc5",
> + "EventName": "BR_MISP_RETIRED.TAKEN_JCC",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "200003",
> + "UMask": "0xfe"
> + },
> + {
> + "BriefDescription": "Counts the number of unhalted core clock cycles. (Fixed event)",
> + "CollectPEBSRecord": "2",
> + "Counter": "Fixed counter 1",
> + "EventName": "CPU_CLK_UNHALTED.CORE",
> + "PEBScounters": "33",
> + "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses fixed counter 1.",
> + "SampleAfterValue": "2000003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts the number of unhalted core clock cycles.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x3c",
> + "EventName": "CPU_CLK_UNHALTED.CORE_P",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses a programmable general purpose performance counter.",
> + "SampleAfterValue": "2000003",
> + "Speculative": "1"
> + },
> + {
> + "BriefDescription": "Counts the number of unhalted reference clock cycles at TSC frequency. (Fixed event)",
> + "CollectPEBSRecord": "2",
> + "Counter": "Fixed counter 2",
> + "EventName": "CPU_CLK_UNHALTED.REF_TSC",
> + "PEBScounters": "34",
> + "PublicDescription": "Counts the number of reference cycles that the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. This event is not affected by core frequency changes and increments at a fixed frequency that is also used for the Time Stamp Counter (TSC). This event uses fixed counter 2.",
> + "SampleAfterValue": "2000003",
> + "Speculative": "1",
> + "UMask": "0x3"
> + },
> + {
> + "BriefDescription": "Counts the number of unhalted reference clock cycles at TSC frequency.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x3c",
> + "EventName": "CPU_CLK_UNHALTED.REF_TSC_P",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of reference cycles that the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. This event is not affected by core frequency changes and increments at a fixed frequency that is also used for the Time Stamp Counter (TSC). This event uses a programmable general purpose performance counter.",
> + "SampleAfterValue": "2000003",
> + "Speculative": "1",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of unhalted core clock cycles. (Fixed event)",
> + "CollectPEBSRecord": "2",
> + "Counter": "Fixed counter 1",
> + "EventName": "CPU_CLK_UNHALTED.THREAD",
> + "PEBScounters": "33",
> + "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses fixed counter 1.",
> + "SampleAfterValue": "2000003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts the number of unhalted core clock cycles.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x3c",
> + "EventName": "CPU_CLK_UNHALTED.THREAD_P",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses a programmable general purpose performance counter.",
> + "SampleAfterValue": "2000003",
> + "Speculative": "1"
> + },
> + {
> + "BriefDescription": "Counts the total number of instructions retired. (Fixed event)",
> + "CollectPEBSRecord": "2",
> + "Counter": "Fixed counter 0",
> + "EventName": "INST_RETIRED.ANY",
> + "PEBS": "1",
> + "PEBScounters": "32",
> + "PublicDescription": "Counts the total number of instructions that retired. For instructions that consist of multiple uops, this event counts the retirement of the last uop of the instruction. This event continues counting during hardware interrupts, traps, and inside interrupt handlers. This event uses fixed counter 0.",
> + "SampleAfterValue": "2000003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the total number of instructions retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc0",
> + "EventName": "INST_RETIRED.ANY_P",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the total number of instructions that retired. For instructions that consist of multiple uops, this event counts the retirement of the last uop of the instruction. This event continues counting during hardware interrupts, traps, and inside interrupt handlers. This event uses a programmable general purpose performance counter.",
> + "SampleAfterValue": "2000003"
> + },
> + {
> + "BriefDescription": "This event is deprecated. Refer to new event LD_BLOCKS.ADDRESS_ALIAS",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "Deprecated": "1",
> + "EventCode": "0x03",
> + "EventName": "LD_BLOCKS.4K_ALIAS",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "UMask": "0x4"
> + },
> + {
> + "BriefDescription": "Counts the number of retired loads that are blocked because it initially appears to be store forward blocked, but subsequently is shown not to be blocked based on 4K alias check.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x03",
> + "EventName": "LD_BLOCKS.ADDRESS_ALIAS",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "UMask": "0x4"
> + },
> + {
> + "BriefDescription": "Counts the number of retired loads that are blocked because its address exactly matches an older store whose data is not ready.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x03",
> + "EventName": "LD_BLOCKS.DATA_UNKNOWN",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of machine clears due to memory ordering in which an internal load passes an older store within the same CPU.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc3",
> + "EventName": "MACHINE_CLEARS.DISAMBIGUATION",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x8"
> + },
> + {
> + "BriefDescription": "Counts the number of machines clears due to memory renaming.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc3",
> + "EventName": "MACHINE_CLEARS.MRN_NUKE",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x80"
> + },
> + {
> + "BriefDescription": "Counts the number of machine clears due to a page fault. Counts both I-Side and D-Side (Loads/Stores) page faults. A page fault occurs when either the page is not present, or an access violation occurs.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc3",
> + "EventName": "MACHINE_CLEARS.PAGE_FAULT",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x20"
> + },
> + {
> + "BriefDescription": "Counts the number of machine clears that flush the pipeline and restart the machine with the use of microcode due to SMC, MEMORY_ORDERING, FP_ASSISTS, PAGE_FAULT, DISAMBIGUATION, and FPC_VIRTUAL_TRAP.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc3",
> + "EventName": "MACHINE_CLEARS.SLOW",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x6f"
> + },
> + {
> + "BriefDescription": "Counts the number of machine clears due to program modifying data (self modifying code) within 1K of a recently fetched code page.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc3",
> + "EventName": "MACHINE_CLEARS.SMC",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "20003",
> + "Speculative": "1",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots not consumed by the backend due to a micro-sequencer (MS) scoreboard, which stalls the front-end from issuing from the UROM until a specified older uop retires.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x75",
> + "EventName": "SERIALIZATION.NON_C01_MS_SCB",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of issue slots not consumed by the backend due to a micro-sequencer (MS) scoreboard, which stalls the front-end from issuing from the UROM until a specified older uop retires. The most commonly executed instruction with an MS scoreboard is PAUSE.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a mispredicted jump or a machine clear.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x73",
> + "EventName": "TOPDOWN_BAD_SPECULATION.ALL",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a mispredicted jump or a machine clear. Only issue slots wasted due to fast nukes such as memory ordering nukes are counted. Other nukes are not accounted for. Counts all issue slots blocked during this recovery window including relevant microcode flows and while uops are not yet available in the instruction queue (IQ) even if an FE_bound event occurs during this period. Also includes the issue slots that were consumed by the backend but were thrown away because they were younger than the mispredict or machine clear.",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to fast nukes such as memory ordering and memory disambiguation machine clears.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x73",
> + "EventName": "TOPDOWN_BAD_SPECULATION.FASTNUKE",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a machine clear (nuke) of any kind including memory ordering and memory disambiguation.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x73",
> + "EventName": "TOPDOWN_BAD_SPECULATION.MACHINE_CLEARS",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x3"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to branch mispredicts.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x73",
> + "EventName": "TOPDOWN_BAD_SPECULATION.MISPREDICT",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x4"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to a machine clear (nuke).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x73",
> + "EventName": "TOPDOWN_BAD_SPECULATION.NUKE",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the total number of issue slots every cycle that were not consumed by the backend due to backend stalls.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x74",
> + "EventName": "TOPDOWN_BE_BOUND.ALL",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to certain allocation restrictions.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x74",
> + "EventName": "TOPDOWN_BE_BOUND.ALLOC_RESTRICTIONS",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to memory reservation stalls in which a scheduler is not able to accept uops.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x74",
> + "EventName": "TOPDOWN_BE_BOUND.MEM_SCHEDULER",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to IEC or FPC RAT stalls, which can be due to FIQ or IEC reservation stalls in which the integer, floating point or SIMD scheduler is not able to accept uops.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x74",
> + "EventName": "TOPDOWN_BE_BOUND.NON_MEM_SCHEDULER",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x8"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to the physical register file unable to accept an entry (marble stalls).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x74",
> + "EventName": "TOPDOWN_BE_BOUND.REGISTER",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x20"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to the reorder buffer being full (ROB stalls).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x74",
> + "EventName": "TOPDOWN_BE_BOUND.REORDER_BUFFER",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x40"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to scoreboards from the instruction queue (IQ), jump execution unit (JEU), or microcode sequencer (MS).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x74",
> + "EventName": "TOPDOWN_BE_BOUND.SERIALIZATION",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x10"
> + },
> + {
> + "BriefDescription": "Counts the total number of issue slots every cycle that were not consumed by the backend due to frontend stalls.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.ALL",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BACLEARS.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.BRANCH_DETECT",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BACLEARS, which occurs when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend. Includes BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x2"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BTCLEARS.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.BRANCH_RESTEER",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BTCLEARS, which occurs when the Branch Target Buffer (BTB) predicts a taken branch.",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x40"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to the microcode sequencer (MS).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.CISC",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to decode stalls.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.DECODE",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x8"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to frontend bandwidth restrictions due to decode, predecode, cisc, and other limitations.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.FRONTEND_BANDWIDTH",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x8d"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to a latency related stalls including BACLEARs, BTCLEARs, ITLB misses, and ICache misses.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.FRONTEND_LATENCY",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x72"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to ITLB misses.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.ITLB",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to Instruction Table Lookaside Buffer (ITLB) misses.",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x10"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to other common frontend stalls not categorized.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.OTHER",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x80"
> + },
> + {
> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to wrong predecodes.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x71",
> + "EventName": "TOPDOWN_FE_BOUND.PREDECODE",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x4"
> + },
> + {
> + "BriefDescription": "Counts the total number of consumed retirement slots.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc2",
> + "EventName": "TOPDOWN_RETIRING.ALL",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003"
> + },
> + {
> + "BriefDescription": "Counts the total number of uops retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc2",
> + "EventName": "UOPS_RETIRED.ALL",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "2000003"
> + },
> + {
> + "BriefDescription": "Counts the number of integer divide uops retired.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc2",
> + "EventName": "UOPS_RETIRED.IDIV",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "2000003",
> + "UMask": "0x10"
> + },
> + {
> + "BriefDescription": "Counts the number of uops that are from complex flows issued by the micro-sequencer (MS).",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc2",
> + "EventName": "UOPS_RETIRED.MS",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of uops that are from complex flows issued by the Microcode Sequencer (MS). This includes uops from flows due to complex instructions, faults, assists, and inserted flows.",
> + "SampleAfterValue": "2000003",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of x87 uops retired, includes those in MS flows.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0xc2",
> + "EventName": "UOPS_RETIRED.X87",
> + "PEBS": "1",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "2000003",
> + "UMask": "0x2"
> + }
> +]
> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json b/tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json
> new file mode 100644
> index 000000000000..77f80d1a7cd4
> --- /dev/null
> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json
> @@ -0,0 +1,71 @@
> +[
> + {
> + "BriefDescription": "Counts the number of page walks completed due to load DTLB misses to any page size.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x08",
> + "EventName": "DTLB_LOAD_MISSES.WALK_COMPLETED",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of page walks completed due to loads (including SW prefetches) whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0xe"
> + },
> + {
> + "BriefDescription": "Counts the number of page walks completed due to store DTLB misses to any page size.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x49",
> + "EventName": "DTLB_STORE_MISSES.WALK_COMPLETED",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of page walks completed due to stores whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
> + "SampleAfterValue": "2000003",
> + "Speculative": "1",
> + "UMask": "0xe"
> + },
> + {
> + "BriefDescription": "Counts the number of page walks initiated by a instruction fetch that missed the first and second level TLBs.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x85",
> + "EventName": "ITLB_MISSES.MISS_CAUSED_WALK",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x1"
> + },
> + {
> + "BriefDescription": "Counts the number of page walks due to an instruction fetch that miss the PDE (Page Directory Entry) cache.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x85",
> + "EventName": "ITLB_MISSES.PDE_CACHE_MISS",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "2000003",
> + "Speculative": "1",
> + "UMask": "0x80"
> + },
> + {
> + "BriefDescription": "Counts the number of page walks completed due to instruction fetch misses to any page size.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x85",
> + "EventName": "ITLB_MISSES.WALK_COMPLETED",
> + "PEBScounters": "0,1,2,3,4,5",
> + "PublicDescription": "Counts the number of page walks completed due to instruction fetches whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
> + "SampleAfterValue": "200003",
> + "Speculative": "1",
> + "UMask": "0xe"
> + },
> + {
> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to a DTLB miss.",
> + "CollectPEBSRecord": "2",
> + "Counter": "0,1,2,3,4,5",
> + "EventCode": "0x05",
> + "EventName": "LD_HEAD.DTLB_MISS_AT_RET",
> + "PEBScounters": "0,1,2,3,4,5",
> + "SampleAfterValue": "1000003",
> + "Speculative": "1",
> + "UMask": "0x90"
> + }
> +]
> --
> 2.25.1
>

2022-11-19 01:54:39

by Ian Rogers

[permalink] [raw]
Subject: Re: [PATCH 1/5] perf vendor events: Add the cpuid for Alderlake-N

On Thu, Nov 17, 2022 at 11:56 PM <[email protected]> wrote:
>
> From: Zhengjun Xing <[email protected]>
>
> Alderlake-N only has E-core, it has been moved to non-hybrid code path on
> the kernel side, add the cpuid for Alderlake-N separately. Both events for
> Alderlake and Alderlake-N are based on JSON file v1.16.

The update to 1.16 is true at the end of the patch series rather than
the beginning. It makes more sense to bump the version in the same
change that updates the json otherwise reverting the json changes
leaves the version number in place.

Thanks,
Ian


> Signed-off-by: Zhengjun Xing <[email protected]>
> Reviewed-by: Kan Liang <[email protected]>
> ---
> tools/perf/pmu-events/arch/x86/mapfile.csv | 3 ++-
> 1 file changed, 2 insertions(+), 1 deletion(-)
>
> diff --git a/tools/perf/pmu-events/arch/x86/mapfile.csv b/tools/perf/pmu-events/arch/x86/mapfile.csv
> index 5e609b876790..df47462a125f 100644
> --- a/tools/perf/pmu-events/arch/x86/mapfile.csv
> +++ b/tools/perf/pmu-events/arch/x86/mapfile.csv
> @@ -1,5 +1,6 @@
> Family-model,Version,Filename,EventType
> -GenuineIntel-6-(97|9A|B7|BA|BE|BF),v1.15,alderlake,core
> +GenuineIntel-6-(97|9A|B7|BA|BF),v1.16,alderlake,core
> +GenuineIntel-6-BE,v1.16,alderlaken,core
> GenuineIntel-6-(1C|26|27|35|36),v4,bonnell,core
> GenuineIntel-6-(3D|47),v26,broadwell,core
> GenuineIntel-6-56,v23,broadwellde,core
> --
> 2.25.1
>

2022-11-21 03:04:52

by Xing Zhengjun

[permalink] [raw]
Subject: Re: [PATCH 2/5] perf vendor events intel: Add core event list for Alderlake-N



On 11/19/2022 9:01 AM, Ian Rogers wrote:
> On Thu, Nov 17, 2022 at 11:57 PM <[email protected]> wrote:
>>
>> From: Zhengjun Xing <[email protected]>
>>
>> Alderlake-N only has E-core, it has been moved to non-hybrid code path on
>> the kernel side. Add core event list for Alderlake-N, it is based on the
>> ADL gracemont v1.16 JSON file.
>>
>> https://github.com/intel/perfmon/tree/main/ADL/events/
>>
>> Signed-off-by: Zhengjun Xing <[email protected]>
>> Reviewed-by: Kan Liang <[email protected]>
>> ---
>> .../pmu-events/arch/x86/alderlaken/cache.json | 450 ++++++++++
>> .../arch/x86/alderlaken/floating-point.json | 25 +
>> .../arch/x86/alderlaken/frontend.json | 38 +
>> .../arch/x86/alderlaken/memory.json | 109 +++
>> .../pmu-events/arch/x86/alderlaken/other.json | 42 +
>> .../arch/x86/alderlaken/pipeline.json | 774 ++++++++++++++++++
>> .../arch/x86/alderlaken/virtual-memory.json | 71 ++
>> 7 files changed, 1509 insertions(+)
>> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/cache.json
>> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json
>> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/frontend.json
>> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/memory.json
>> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/other.json
>> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json
>> create mode 100644 tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json
>>
>> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/cache.json b/tools/perf/pmu-events/arch/x86/alderlaken/cache.json
>> new file mode 100644
>> index 000000000000..a6aa87d1365b
>> --- /dev/null
>> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/cache.json
>> @@ -0,0 +1,450 @@
>> +[
>> + {
>> + "BriefDescription": "Counts the number of cacheable memory requests that miss in the LLC. Counts on a per core basis.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x2e",
>> + "EventName": "LONGEST_LAT_CACHE.MISS",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of cacheable memory requests that miss in the Last Level Cache (LLC). Requests include demand loads, reads for ownership (RFO), instruction fetches and L1 HW prefetches. If the platform has an L3 cache, the LLC is the L3 cache, otherwise it is the L2 cache. Counts on a per core basis.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x41"
>
> In this event CollectPEBSRecord, Counter, PEBScounters and Speculative
> are all unused in the jevents.py converter. There is a new perf json
> creating tool that doesn't generate these unneeded values:
> https://github.com/intel/perfmon/pull/27
> Would it not make more sense to use that to generate the AlderlakeN
> data? It also fixes a number of bugs, in particular in uncore event
> generation.
>
Ok, I will regenerate the event list with the new converter scripts.

> Thanks,
> Ian
>
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cacheable memory requests that access the LLC. Counts on a per core basis.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x2e",
>> + "EventName": "LONGEST_LAT_CACHE.REFERENCE",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of cacheable memory requests that access the Last Level Cache (LLC). Requests include demand loads, reads for ownership (RFO), instruction fetches and L1 HW prefetches. If the platform has an L3 cache, the LLC is the L3 cache, otherwise it is the L2 cache. Counts on a per core basis.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x4f"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x34",
>> + "EventName": "MEM_BOUND_STALLS.IFETCH",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or translation lookaside buffer (TLB) miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x38"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in DRAM or MMIO (Non-DRAM).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x34",
>> + "EventName": "MEM_BOUND_STALLS.IFETCH_DRAM_HIT",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or translation lookaside buffer (TLB) miss which hit in DRAM or MMIO (non-DRAM).",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x20"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in the L2 cache.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x34",
>> + "EventName": "MEM_BOUND_STALLS.IFETCH_L2_HIT",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or Translation Lookaside Buffer (TLB) miss which hit in the L2 cache.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x8"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles the core is stalled due to an instruction cache or TLB miss which hit in the LLC or other core with HITE/F/M.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x34",
>> + "EventName": "MEM_BOUND_STALLS.IFETCH_LLC_HIT",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of cycles the core is stalled due to an instruction cache or Translation Lookaside Buffer (TLB) miss which hit in the Last Level Cache (LLC) or other core with HITE/F/M.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x10"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load miss which hit in the L2, LLC, DRAM or MMIO (Non-DRAM).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x34",
>> + "EventName": "MEM_BOUND_STALLS.LOAD",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x7"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load miss which hit in DRAM or MMIO (Non-DRAM).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x34",
>> + "EventName": "MEM_BOUND_STALLS.LOAD_DRAM_HIT",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x4"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the L2 cache.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x34",
>> + "EventName": "MEM_BOUND_STALLS.LOAD_L2_HIT",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the LLC or other core with HITE/F/M.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x34",
>> + "EventName": "MEM_BOUND_STALLS.LOAD_LLC_HIT",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of cycles the core is stalled due to a demand load which hit in the Last Level Cache (LLC) or other core with HITE/F/M.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of load uops retired that hit in DRAM.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Data_LA": "1",
>> + "EventCode": "0xd1",
>> + "EventName": "MEM_LOAD_UOPS_RETIRED.DRAM_HIT",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x80"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of load uops retired that hit in the L2 cache.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Data_LA": "1",
>> + "EventCode": "0xd1",
>> + "EventName": "MEM_LOAD_UOPS_RETIRED.L2_HIT",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of load uops retired that hit in the L3 cache.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Data_LA": "1",
>> + "EventCode": "0xd1",
>> + "EventName": "MEM_LOAD_UOPS_RETIRED.L3_HIT",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x4"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles that uops are blocked for any of the following reasons: load buffer, store buffer or RSV full.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x04",
>> + "EventName": "MEM_SCHEDULER_BLOCK.ALL",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x7"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles that uops are blocked due to a load buffer full condition.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x04",
>> + "EventName": "MEM_SCHEDULER_BLOCK.LD_BUF",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles that uops are blocked due to an RSV full condition.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x04",
>> + "EventName": "MEM_SCHEDULER_BLOCK.RSV",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x4"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles that uops are blocked due to a store buffer full condition.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x04",
>> + "EventName": "MEM_SCHEDULER_BLOCK.ST_BUF",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of load uops retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.ALL_LOADS",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the total number of load uops retired.",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x81"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of store uops retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.ALL_STORES",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the total number of store uops retired.",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x82"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 128 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_128",
>> + "L1_Hit_Indication": "1",
>> + "MSRIndex": "0x3F6",
>> + "MSRValue": "0x80",
>> + "PEBS": "2",
>> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 128 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
>> + "SampleAfterValue": "1000003",
>> + "TakenAlone": "1",
>> + "UMask": "0x5"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 16 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_16",
>> + "L1_Hit_Indication": "1",
>> + "MSRIndex": "0x3F6",
>> + "MSRValue": "0x10",
>> + "PEBS": "2",
>> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 16 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
>> + "SampleAfterValue": "1000003",
>> + "TakenAlone": "1",
>> + "UMask": "0x5"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 256 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_256",
>> + "L1_Hit_Indication": "1",
>> + "MSRIndex": "0x3F6",
>> + "MSRValue": "0x100",
>> + "PEBS": "2",
>> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 256 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
>> + "SampleAfterValue": "1000003",
>> + "TakenAlone": "1",
>> + "UMask": "0x5"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 32 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_32",
>> + "L1_Hit_Indication": "1",
>> + "MSRIndex": "0x3F6",
>> + "MSRValue": "0x20",
>> + "PEBS": "2",
>> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 32 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
>> + "SampleAfterValue": "1000003",
>> + "TakenAlone": "1",
>> + "UMask": "0x5"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 4 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_4",
>> + "L1_Hit_Indication": "1",
>> + "MSRIndex": "0x3F6",
>> + "MSRValue": "0x4",
>> + "PEBS": "2",
>> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 4 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
>> + "SampleAfterValue": "1000003",
>> + "TakenAlone": "1",
>> + "UMask": "0x5"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 512 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_512",
>> + "L1_Hit_Indication": "1",
>> + "MSRIndex": "0x3F6",
>> + "MSRValue": "0x200",
>> + "PEBS": "2",
>> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 512 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
>> + "SampleAfterValue": "1000003",
>> + "TakenAlone": "1",
>> + "UMask": "0x5"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 64 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_64",
>> + "L1_Hit_Indication": "1",
>> + "MSRIndex": "0x3F6",
>> + "MSRValue": "0x40",
>> + "PEBS": "2",
>> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 64 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
>> + "SampleAfterValue": "1000003",
>> + "TakenAlone": "1",
>> + "UMask": "0x5"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 8 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.LOAD_LATENCY_GT_8",
>> + "L1_Hit_Indication": "1",
>> + "MSRIndex": "0x3F6",
>> + "MSRValue": "0x8",
>> + "PEBS": "2",
>> + "PublicDescription": "Counts the number of tagged loads with an instruction latency that exceeds or equals the threshold of 8 cycles as defined in MEC_CR_PEBS_LD_LAT_THRESHOLD (3F6H). Only counts with PEBS enabled. If a PEBS record is generated, will populate the PEBS Latency and PEBS Data Source fields accordingly.",
>> + "SampleAfterValue": "1000003",
>> + "TakenAlone": "1",
>> + "UMask": "0x5"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of retired split load uops.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.SPLIT_LOADS",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x41"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of stores uops retired. Counts with or without PEBS enabled.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Data_LA": "1",
>> + "EventCode": "0xd0",
>> + "EventName": "MEM_UOPS_RETIRED.STORE_LATENCY",
>> + "L1_Hit_Indication": "1",
>> + "PEBS": "2",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of stores uops retired. Counts with or without PEBS enabled. If PEBS is enabled and a PEBS record is generated, will populate PEBS Latency and PEBS Data Source fields accordingly.",
>> + "SampleAfterValue": "1000003",
>> + "UMask": "0x6"
>> + },
>> + {
>> + "BriefDescription": "Counts demand data reads that were supplied by the L3 cache.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_DATA_RD.L3_HIT",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x3F803C0001",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand data reads that were supplied by the L3 cache where a snoop was sent, the snoop hit, and modified data was forwarded.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HITM",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x10003C0001",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand data reads that were supplied by the L3 cache where a snoop was sent, the snoop hit, but no data was forwarded.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_NO_FWD",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x4003C0001",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand data reads that were supplied by the L3 cache where a snoop was sent, the snoop hit, and non-modified data was forwarded.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_DATA_RD.L3_HIT.SNOOP_HIT_WITH_FWD",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x8003C0001",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were supplied by the L3 cache.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_RFO.L3_HIT",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x3F803C0002",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were supplied by the L3 cache where a snoop was sent, the snoop hit, and modified data was forwarded.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_RFO.L3_HIT.SNOOP_HITM",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x10003C0002",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to instruction cache misses.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.ICACHE",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x20"
>> + }
>> +]
>> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json b/tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json
>> new file mode 100644
>> index 000000000000..02eb1af8db00
>> --- /dev/null
>> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/floating-point.json
>> @@ -0,0 +1,25 @@
>> +[
>> + {
>> + "BriefDescription": "Counts the number of floating point operations retired that required microcode assist.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc3",
>> + "EventName": "MACHINE_CLEARS.FP_ASSIST",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of floating point operations retired that required microcode assist, which is not a reflection of the number of FP operations, instructions or uops.",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x4"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of floating point divide uops retired (x87 and SSE, including x87 sqrt).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc2",
>> + "EventName": "UOPS_RETIRED.FPDIV",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "2000003",
>> + "UMask": "0x8"
>> + }
>> +]
>> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/frontend.json b/tools/perf/pmu-events/arch/x86/alderlaken/frontend.json
>> new file mode 100644
>> index 000000000000..ed0587945a05
>> --- /dev/null
>> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/frontend.json
>> @@ -0,0 +1,38 @@
>> +[
>> + {
>> + "BriefDescription": "Counts the total number of BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xe6",
>> + "EventName": "BACLEARS.ANY",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the total number of BACLEARS, which occur when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend. Includes BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
>> + "SampleAfterValue": "100003",
>> + "Speculative": "1",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of requests to the instruction cache for one or more bytes of a cache line.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x80",
>> + "EventName": "ICACHE.ACCESSES",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the total number of requests to the instruction cache. The event only counts new cache line accesses, so that multiple back to back fetches to the exact same cache line or byte chunk count as one. Specifically, the event counts when accesses from sequential code crosses the cache line boundary, or when a branch target is moved to a new line or to a non-sequential byte chunk of the same line.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x3"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of instruction cache misses.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x80",
>> + "EventName": "ICACHE.MISSES",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of missed requests to the instruction cache. The event only counts new cache line accesses, so that multiple back to back fetches to the exact same cache line and byte chunk count as one. Specifically, the event counts when accesses from sequential code crosses the cache line boundary, or when a branch target is moved to a new line or to a non-sequential byte chunk of the same line.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + }
>> +]
>> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/memory.json b/tools/perf/pmu-events/arch/x86/alderlaken/memory.json
>> new file mode 100644
>> index 000000000000..cabf2fc8600f
>> --- /dev/null
>> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/memory.json
>> @@ -0,0 +1,109 @@
>> +[
>> + {
>> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer is stalled due to any number of reasons, including an L1 miss, WCB full, pagewalk, store address block or store data block, on a load that retires.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x05",
>> + "EventName": "LD_HEAD.ANY_AT_RET",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0xff"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer is stalled due to a core bound stall including a store address match, a DTLB miss or a page walk that detains the load from retiring.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x05",
>> + "EventName": "LD_HEAD.L1_BOUND_AT_RET",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0xf4"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to other block cases.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x05",
>> + "EventName": "LD_HEAD.OTHER_AT_RET",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to other block cases such as pipeline conflicts, fences, etc.",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0xc0"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to a pagewalk.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x05",
>> + "EventName": "LD_HEAD.PGWALK_AT_RET",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0xa0"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to a store address match.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x05",
>> + "EventName": "LD_HEAD.ST_ADDR_AT_RET",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x84"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of machine clears due to memory ordering caused by a snoop from an external agent. Does not count internally generated machine clears such as those due to memory disambiguation.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc3",
>> + "EventName": "MACHINE_CLEARS.MEMORY_ORDERING",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts demand data reads that were not supplied by the L3 cache.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_DATA_RD.L3_MISS",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x3F84400001",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand data reads that were not supplied by the L3 cache.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_DATA_RD.L3_MISS_LOCAL",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x3F84400001",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were not supplied by the L3 cache.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_RFO.L3_MISS",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x3F84400002",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that were not supplied by the L3 cache.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_RFO.L3_MISS_LOCAL",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x3F84400002",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + }
>> +]
>> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/other.json b/tools/perf/pmu-events/arch/x86/alderlaken/other.json
>> new file mode 100644
>> index 000000000000..25c7f1f47e28
>> --- /dev/null
>> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/other.json
>> @@ -0,0 +1,42 @@
>> +[
>> + {
>> + "BriefDescription": "Counts modified writebacks from L1 cache and L2 cache that have any type of response.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.COREWB_M.ANY_RESPONSE",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x10008",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand data reads that have any type of response.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_DATA_RD.ANY_RESPONSE",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x10001",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts demand reads for ownership (RFO) and software prefetches for exclusive ownership (PREFETCHW) that have any type of response.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.DEMAND_RFO.ANY_RESPONSE",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x10002",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts streaming stores that have any type of response.",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xB7",
>> + "EventName": "OCR.STREAMING_WR.ANY_RESPONSE",
>> + "MSRIndex": "0x1a6,0x1a7",
>> + "MSRValue": "0x10800",
>> + "SampleAfterValue": "100003",
>> + "UMask": "0x1"
>> + }
>> +]
>> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json b/tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json
>> new file mode 100644
>> index 000000000000..f922d96e5aaa
>> --- /dev/null
>> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/pipeline.json
>> @@ -0,0 +1,774 @@
>> +[
>> + {
>> + "BriefDescription": "Counts the total number of branch instructions retired for all branch types.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.ALL_BRANCHES",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the total number of instructions in which the instruction pointer (IP) of the processor is resteered due to a branch instruction and the branch instruction successfully retires. All branch type instructions are accounted for.",
>> + "SampleAfterValue": "200003"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.NEAR_CALL",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.CALL",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xf9"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of retired JCC (Jump on Conditional Code) branch instructions retired, includes both taken and not taken branches.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.COND",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x7e"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of taken JCC (Jump on Conditional Code) branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.COND_TAKEN",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xfe"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of far branch instructions retired, includes far jump, far call and return, and interrupt call and return.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.FAR_BRANCH",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xbf"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of near indirect JMP and near indirect CALL branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.INDIRECT",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xeb"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of near indirect CALL branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.INDIRECT_CALL",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xfb"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.INDIRECT_CALL",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.IND_CALL",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xfb"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.COND",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.JCC",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x7e"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of near CALL branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.NEAR_CALL",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xf9"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of near RET branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.NEAR_RETURN",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xf7"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.INDIRECT",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.NON_RETURN_IND",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xeb"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of near relative CALL branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.REL_CALL",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xfd"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.NEAR_RETURN",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.RETURN",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xf7"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_INST_RETIRED.COND_TAKEN",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc4",
>> + "EventName": "BR_INST_RETIRED.TAKEN_JCC",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xfe"
>> + },
>> + {
>> + "BriefDescription": "Counts the total number of mispredicted branch instructions retired for all branch types.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.ALL_BRANCHES",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the total number of mispredicted branch instructions retired. All branch type instructions are accounted for. Prediction of the branch target address enables the processor to begin executing instructions before the non-speculative execution path is known. The branch prediction unit (BPU) predicts the target address based on the instruction pointer (IP) of the branch and on the execution path through which execution reached this IP. A branch misprediction occurs when the prediction is wrong, and results in discarding all instructions executed in the speculative path and re-fetching from the correct path.",
>> + "SampleAfterValue": "200003"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of mispredicted JCC (Jump on Conditional Code) branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.COND",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x7e"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of mispredicted taken JCC (Jump on Conditional Code) branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.COND_TAKEN",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xfe"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of mispredicted near indirect JMP and near indirect CALL branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.INDIRECT",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xeb"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of mispredicted near indirect CALL branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.INDIRECT_CALL",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xfb"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.INDIRECT_CALL",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.IND_CALL",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xfb"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.COND",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.JCC",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0x7e"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.INDIRECT",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.NON_RETURN_IND",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xeb"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of mispredicted near RET branch instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.RETURN",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xf7"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event BR_MISP_RETIRED.COND_TAKEN",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0xc5",
>> + "EventName": "BR_MISP_RETIRED.TAKEN_JCC",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "200003",
>> + "UMask": "0xfe"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of unhalted core clock cycles. (Fixed event)",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "Fixed counter 1",
>> + "EventName": "CPU_CLK_UNHALTED.CORE",
>> + "PEBScounters": "33",
>> + "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses fixed counter 1.",
>> + "SampleAfterValue": "2000003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of unhalted core clock cycles.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x3c",
>> + "EventName": "CPU_CLK_UNHALTED.CORE_P",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses a programmable general purpose performance counter.",
>> + "SampleAfterValue": "2000003",
>> + "Speculative": "1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of unhalted reference clock cycles at TSC frequency. (Fixed event)",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "Fixed counter 2",
>> + "EventName": "CPU_CLK_UNHALTED.REF_TSC",
>> + "PEBScounters": "34",
>> + "PublicDescription": "Counts the number of reference cycles that the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. This event is not affected by core frequency changes and increments at a fixed frequency that is also used for the Time Stamp Counter (TSC). This event uses fixed counter 2.",
>> + "SampleAfterValue": "2000003",
>> + "Speculative": "1",
>> + "UMask": "0x3"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of unhalted reference clock cycles at TSC frequency.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x3c",
>> + "EventName": "CPU_CLK_UNHALTED.REF_TSC_P",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of reference cycles that the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. This event is not affected by core frequency changes and increments at a fixed frequency that is also used for the Time Stamp Counter (TSC). This event uses a programmable general purpose performance counter.",
>> + "SampleAfterValue": "2000003",
>> + "Speculative": "1",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of unhalted core clock cycles. (Fixed event)",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "Fixed counter 1",
>> + "EventName": "CPU_CLK_UNHALTED.THREAD",
>> + "PEBScounters": "33",
>> + "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses fixed counter 1.",
>> + "SampleAfterValue": "2000003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of unhalted core clock cycles.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x3c",
>> + "EventName": "CPU_CLK_UNHALTED.THREAD_P",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of core cycles while the core is not in a halt state. The core enters the halt state when it is running the HLT instruction. The core frequency may change from time to time. For this reason this event may have a changing ratio with regards to time. This event uses a programmable general purpose performance counter.",
>> + "SampleAfterValue": "2000003",
>> + "Speculative": "1"
>> + },
>> + {
>> + "BriefDescription": "Counts the total number of instructions retired. (Fixed event)",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "Fixed counter 0",
>> + "EventName": "INST_RETIRED.ANY",
>> + "PEBS": "1",
>> + "PEBScounters": "32",
>> + "PublicDescription": "Counts the total number of instructions that retired. For instructions that consist of multiple uops, this event counts the retirement of the last uop of the instruction. This event continues counting during hardware interrupts, traps, and inside interrupt handlers. This event uses fixed counter 0.",
>> + "SampleAfterValue": "2000003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the total number of instructions retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc0",
>> + "EventName": "INST_RETIRED.ANY_P",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the total number of instructions that retired. For instructions that consist of multiple uops, this event counts the retirement of the last uop of the instruction. This event continues counting during hardware interrupts, traps, and inside interrupt handlers. This event uses a programmable general purpose performance counter.",
>> + "SampleAfterValue": "2000003"
>> + },
>> + {
>> + "BriefDescription": "This event is deprecated. Refer to new event LD_BLOCKS.ADDRESS_ALIAS",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "Deprecated": "1",
>> + "EventCode": "0x03",
>> + "EventName": "LD_BLOCKS.4K_ALIAS",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "UMask": "0x4"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of retired loads that are blocked because it initially appears to be store forward blocked, but subsequently is shown not to be blocked based on 4K alias check.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x03",
>> + "EventName": "LD_BLOCKS.ADDRESS_ALIAS",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "UMask": "0x4"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of retired loads that are blocked because its address exactly matches an older store whose data is not ready.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x03",
>> + "EventName": "LD_BLOCKS.DATA_UNKNOWN",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of machine clears due to memory ordering in which an internal load passes an older store within the same CPU.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc3",
>> + "EventName": "MACHINE_CLEARS.DISAMBIGUATION",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x8"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of machines clears due to memory renaming.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc3",
>> + "EventName": "MACHINE_CLEARS.MRN_NUKE",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x80"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of machine clears due to a page fault. Counts both I-Side and D-Side (Loads/Stores) page faults. A page fault occurs when either the page is not present, or an access violation occurs.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc3",
>> + "EventName": "MACHINE_CLEARS.PAGE_FAULT",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x20"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of machine clears that flush the pipeline and restart the machine with the use of microcode due to SMC, MEMORY_ORDERING, FP_ASSISTS, PAGE_FAULT, DISAMBIGUATION, and FPC_VIRTUAL_TRAP.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc3",
>> + "EventName": "MACHINE_CLEARS.SLOW",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x6f"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of machine clears due to program modifying data (self modifying code) within 1K of a recently fetched code page.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc3",
>> + "EventName": "MACHINE_CLEARS.SMC",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "20003",
>> + "Speculative": "1",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots not consumed by the backend due to a micro-sequencer (MS) scoreboard, which stalls the front-end from issuing from the UROM until a specified older uop retires.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x75",
>> + "EventName": "SERIALIZATION.NON_C01_MS_SCB",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of issue slots not consumed by the backend due to a micro-sequencer (MS) scoreboard, which stalls the front-end from issuing from the UROM until a specified older uop retires. The most commonly executed instruction with an MS scoreboard is PAUSE.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a mispredicted jump or a machine clear.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x73",
>> + "EventName": "TOPDOWN_BAD_SPECULATION.ALL",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a mispredicted jump or a machine clear. Only issue slots wasted due to fast nukes such as memory ordering nukes are counted. Other nukes are not accounted for. Counts all issue slots blocked during this recovery window including relevant microcode flows and while uops are not yet available in the instruction queue (IQ) even if an FE_bound event occurs during this period. Also includes the issue slots that were consumed by the backend but were thrown away because they were younger than the mispredict or machine clear.",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to fast nukes such as memory ordering and memory disambiguation machine clears.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x73",
>> + "EventName": "TOPDOWN_BAD_SPECULATION.FASTNUKE",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts the total number of issue slots that were not consumed by the backend because allocation is stalled due to a machine clear (nuke) of any kind including memory ordering and memory disambiguation.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x73",
>> + "EventName": "TOPDOWN_BAD_SPECULATION.MACHINE_CLEARS",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x3"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to branch mispredicts.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x73",
>> + "EventName": "TOPDOWN_BAD_SPECULATION.MISPREDICT",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x4"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to a machine clear (nuke).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x73",
>> + "EventName": "TOPDOWN_BAD_SPECULATION.NUKE",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the total number of issue slots every cycle that were not consumed by the backend due to backend stalls.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x74",
>> + "EventName": "TOPDOWN_BE_BOUND.ALL",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to certain allocation restrictions.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x74",
>> + "EventName": "TOPDOWN_BE_BOUND.ALLOC_RESTRICTIONS",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to memory reservation stalls in which a scheduler is not able to accept uops.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x74",
>> + "EventName": "TOPDOWN_BE_BOUND.MEM_SCHEDULER",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to IEC or FPC RAT stalls, which can be due to FIQ or IEC reservation stalls in which the integer, floating point or SIMD scheduler is not able to accept uops.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x74",
>> + "EventName": "TOPDOWN_BE_BOUND.NON_MEM_SCHEDULER",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x8"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to the physical register file unable to accept an entry (marble stalls).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x74",
>> + "EventName": "TOPDOWN_BE_BOUND.REGISTER",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x20"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to the reorder buffer being full (ROB stalls).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x74",
>> + "EventName": "TOPDOWN_BE_BOUND.REORDER_BUFFER",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x40"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not consumed by the backend due to scoreboards from the instruction queue (IQ), jump execution unit (JEU), or microcode sequencer (MS).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x74",
>> + "EventName": "TOPDOWN_BE_BOUND.SERIALIZATION",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x10"
>> + },
>> + {
>> + "BriefDescription": "Counts the total number of issue slots every cycle that were not consumed by the backend due to frontend stalls.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.ALL",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BACLEARS.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.BRANCH_DETECT",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BACLEARS, which occurs when the Branch Target Buffer (BTB) prediction or lack thereof, was corrected by a later branch predictor in the frontend. Includes BACLEARS due to all branch types including conditional and unconditional jumps, returns, and indirect branches.",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x2"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BTCLEARS.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.BRANCH_RESTEER",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to BTCLEARS, which occurs when the Branch Target Buffer (BTB) predicts a taken branch.",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x40"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to the microcode sequencer (MS).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.CISC",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to decode stalls.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.DECODE",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x8"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to frontend bandwidth restrictions due to decode, predecode, cisc, and other limitations.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.FRONTEND_BANDWIDTH",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x8d"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to a latency related stalls including BACLEARs, BTCLEARs, ITLB misses, and ICache misses.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.FRONTEND_LATENCY",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x72"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to ITLB misses.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.ITLB",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to Instruction Table Lookaside Buffer (ITLB) misses.",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x10"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to other common frontend stalls not categorized.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.OTHER",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x80"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of issue slots every cycle that were not delivered by the frontend due to wrong predecodes.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x71",
>> + "EventName": "TOPDOWN_FE_BOUND.PREDECODE",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x4"
>> + },
>> + {
>> + "BriefDescription": "Counts the total number of consumed retirement slots.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc2",
>> + "EventName": "TOPDOWN_RETIRING.ALL",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003"
>> + },
>> + {
>> + "BriefDescription": "Counts the total number of uops retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc2",
>> + "EventName": "UOPS_RETIRED.ALL",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "2000003"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of integer divide uops retired.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc2",
>> + "EventName": "UOPS_RETIRED.IDIV",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "2000003",
>> + "UMask": "0x10"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of uops that are from complex flows issued by the micro-sequencer (MS).",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc2",
>> + "EventName": "UOPS_RETIRED.MS",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of uops that are from complex flows issued by the Microcode Sequencer (MS). This includes uops from flows due to complex instructions, faults, assists, and inserted flows.",
>> + "SampleAfterValue": "2000003",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of x87 uops retired, includes those in MS flows.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0xc2",
>> + "EventName": "UOPS_RETIRED.X87",
>> + "PEBS": "1",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "2000003",
>> + "UMask": "0x2"
>> + }
>> +]
>> diff --git a/tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json b/tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json
>> new file mode 100644
>> index 000000000000..77f80d1a7cd4
>> --- /dev/null
>> +++ b/tools/perf/pmu-events/arch/x86/alderlaken/virtual-memory.json
>> @@ -0,0 +1,71 @@
>> +[
>> + {
>> + "BriefDescription": "Counts the number of page walks completed due to load DTLB misses to any page size.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x08",
>> + "EventName": "DTLB_LOAD_MISSES.WALK_COMPLETED",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of page walks completed due to loads (including SW prefetches) whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0xe"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of page walks completed due to store DTLB misses to any page size.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x49",
>> + "EventName": "DTLB_STORE_MISSES.WALK_COMPLETED",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of page walks completed due to stores whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
>> + "SampleAfterValue": "2000003",
>> + "Speculative": "1",
>> + "UMask": "0xe"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of page walks initiated by a instruction fetch that missed the first and second level TLBs.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x85",
>> + "EventName": "ITLB_MISSES.MISS_CAUSED_WALK",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x1"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of page walks due to an instruction fetch that miss the PDE (Page Directory Entry) cache.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x85",
>> + "EventName": "ITLB_MISSES.PDE_CACHE_MISS",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "2000003",
>> + "Speculative": "1",
>> + "UMask": "0x80"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of page walks completed due to instruction fetch misses to any page size.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x85",
>> + "EventName": "ITLB_MISSES.WALK_COMPLETED",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "PublicDescription": "Counts the number of page walks completed due to instruction fetches whose address translations missed in all Translation Lookaside Buffer (TLB) levels and were mapped to any page size. Includes page walks that page fault.",
>> + "SampleAfterValue": "200003",
>> + "Speculative": "1",
>> + "UMask": "0xe"
>> + },
>> + {
>> + "BriefDescription": "Counts the number of cycles that the head (oldest load) of the load buffer and retirement are both stalled due to a DTLB miss.",
>> + "CollectPEBSRecord": "2",
>> + "Counter": "0,1,2,3,4,5",
>> + "EventCode": "0x05",
>> + "EventName": "LD_HEAD.DTLB_MISS_AT_RET",
>> + "PEBScounters": "0,1,2,3,4,5",
>> + "SampleAfterValue": "1000003",
>> + "Speculative": "1",
>> + "UMask": "0x90"
>> + }
>> +]
>> --
>> 2.25.1
>>

--
Zhengjun Xing